Digital Design IE1204/5

Storlek: px
Starta visningen från sidan:

Download "Digital Design IE1204/5"

Transkript

1 Digitl Design IE4/5 Övningshäfte Smmnställt v Willim Sndqvist willim@kth.se ICT/Elektroniksystem

2

3 Tlsystem oh koder. Nednstående deiml tl med sen är givn. Ange motsvrnde inär tl. 9 7 d 53. Omvndl nednstående inärtl till deimltl...3 Omvndl nednstående inärtl s till motsvrnde oktl tl s8 oh hedeiml tl s6. d e..4 Omvndl nednstående hedeiml tl s6 till motsvrnde oktl tl s8. 94D 6 9E.7A 6.5 Omvndl det oktl s8 tlet till motsvrnde hedeiml tl s6..6 Skriv det hedeiml s6 tlet BAC 6 på deiml form s..7 Vd krkteriserr Gry-koder, oh hur kn de konstruers?.8 Skriv följnde tl med teken med två-komplementsnottion, 6, 5, 4, 3,,, d Skriv följnde tl med teken med ett-komplementsnottion, 6, 5, 4, 3,,, d - Digitl ritmetik. Adder för hnd följnde pr inär tl... d... Adder eller sutrher ddition med motsvrnde negtiv tl nednstående tl. Tlen skll representers som inär 4-itstl Nile på två-komplementform d Multiplier för hnd följnde pr v tekenlös inär tl... d...4 Divider för hnd följnde pr v tekenlös inär tl. / / 3

4 .5 IEEE-754 stndrden för lgring v 3-itrs flyttl. Antg tt ett 3-itrs flyttl lgrs i ett register som: 4C8 6 vilket reellt deimltl är det?.6 Flyttlsformtets priniper lir mer överskådlig om mn v pedgogisk skäl sklr ned det till 4 itrs registerstorlek Nile. Däremot skulle ett 4-itrsformt vr prktiskt onvändrt. 3 Antg följnde fyritrs flyttlsformt: [ 3 ]. Teknet uttryks med iten 3, inlern representers v en it, oh eponenten hr två itr uttrykt som eess-. Räkn upp de tl som kn representers med full preision. Mrker dem på tllinjen. Hur stort är det störst kvntiseringsfelet. Kn tlet representers? Om inte, föreslå en förändring v formtet så tt kn representers..7 För tt undersök ddition oh multipliktion v flyttl ntr vi nu v pedgogisk skäl ett 6-itrsformt. Dett är fortfrnde för få itr för tt vr prktiskt nvändrt. [ ] Vilk v följnde tl kn representers i dett formt?,5,85 -,375 4,5 7.5 Adder tlen oh. Vd krävs för tt undvik preisionsförlust? Multiplier de föregående tlen med vrndr. 4

5 Mängdräkning oh kuteori Venn-digrm representtion Konstnten Konstnten Vrieln Vrieln 3. y y y Bevis den distriutiv lgen med hjälp v Venn-digrm. y z y z 3. Bevis De Morgns lg med hjälp v Venn-digrm. y y 3.3 Rit ett Venn-digrm för tre vriler oh mrker vr snningstellens ll mintermer är plerde. Minimer funktionen med hjälp v Venn-digrm. f Ku representtion 3.4 Representer följnde funktion v tre vriler som en 3-dimensionell ku med Gry-kodde hörn. f,, m,,3, 4,6 Använd kuen för tt förenkl funktionen. 5

6 Boolesk lger oh grindr Booles lger 4. Använd räknelgrn i den oolesk lgern för tt förenkl följnde logisk uttryk: f d d f f d f e f f f g f d d h f i f 4. Bevis lgeriskt tt följnde smnd är giltig d Förenkl nednstående tre uttryk så långt som möjligt. y z y y 3 y y y 4.4 Förenkl nednstående uttryk så långt som möjligt. 6

7 Grindr 4.5 Ange utsignlen / för följnde se grindtyper när insignlern är de som ngivits i figuren. Ange insignlen / för följnde se grindtyper när utsignlern är de som ngivits i figuren. & & 4.6 Förenkl f, som relisers v figurens grindnät, så långt som möjligt, oh nge funktionens nmn. 4.7 Rit tidsdigrm över signlern A, B, C, D, f. Insignlern till,, oh hr frekvensförhållndet 4: : för tt svep igenom snningstellens ll komintioner i rätt ordning. Skriv upp snningstellen för funktionen f. 7

8 4.8 Ange de logisk uttryken för A, B, C oh D. 4.9 Förenkl det smmnstt uttryken nedn så långt som möjligt. 4. Vis tt 4. Här viss de Europeisk grindsymolern. Ameriks dominns inom hlvledrområdet gör tt mn även måste känn till de meriknsk symolern. Nmnge grindrn oh rit de motsvrnde meriknsk grindsymolern. 4. Ett komintoriskt nät med se insignler 5, 4, 3,,, oh tre utsignler u, u, u, eskrivs med tet på följnde sätt: u om oh endst om ntingen åde oh är eller 4 oh 5 är olik u om oh endst om oh är lik oh 5 är inversen v u om oh endst om är oh någon v... 5 är Beskriv nätet med Boolesk lger oh opertionern AND OR NOT XOR i stället. 8

9 Snningstellen, SP oh PS -form, fullständig logik 5. I figuren viss ett enkelt kodlås med st välingskontkter. För en viss komintion v smtidigt nedtrykt kontkter lyser lmpn. Vilken komintion? Ange den logisk funktionen för lysnde lmp. Vrilerns etekningr står i figuren k. f 5. En logisk funktion hr följnde snningstell: f Ange funktionen på PS-normlform produkter v summtermer: f,, Ange funktionen på SP-normlform summ v produkttermer: f,, 5.3 En minimerd funktion är ngiven på SP form Summ v Produkter. Ange smm funktion med mintermer som SP, respektive med mtermer som PS Produkt v Summor. f, y, z y yz z 5.4 En funktion är ngiven med en lndning v produkter oh delsummor. Ange smm funktion som SP Summ v Produkter med mintermer, respektive PS Produkt v Summor med mtermer. yz y z yz f, y, z y y 9

10 Ekvivlens AND-OR / NAND-NAND oh OR-AND / NOR-NOR 5.5 Rit vidstående AND/OR-nät som ett NAND/NAND-nät. 5.6 Rit vidstående OR/AND-nät som ett NOR/NOR nät. 5.7 Skriv upp snningstellen för en krets med fyr ingångr som definierr jämn pritet; dvs. kretsens utgång är när ett jämnt ntl v ingångrn smtidigt är. Implementer denn funktion med så få NOR-grindr som möjligt.

11 Krnughdigrmmet 6. Gör äst möjlig hoptgningr i Krnughdigrmmet. Ange den minimerde funktionen på SP-form. f d 6. Gör äst möjlig hoptgningr i Krnughdigrmmet. Ange den minimerde funktionen på SP-form. f d 6.3 Pler ut följnde funktion i Krnughdigrmmet. f d Försök tt gör ättre hoptgningr. Ange därefter den minimerde funktionen: f 6.4 Överst i figuren till höger finns ett NOR-NOR nät. Anlyser dett nät oh för in snningstellen i Krnughdigrmmet. Gör hoptgningr i Krnughdigrmmet oh reliser funktionen med NAND-grindr nederst i figuren i stället. Vrilern oh finns tillgänglig åde norml oh inverterde.

12 PLD-kretsr hr oft en XOR-grind på utgången så tt mn vid ehov skll kunn inverter funktionen. Mn kn då välj melln tt t ihop :or eller :or efter vd som är fördelktigst. 6.5 En funktion med fyr vriler definiers med mintermer på SP-form. Använd Krnughdigrm för tt minimer funktionen. Minimer även funktionens invers. f 3,,, m,, 4, 8,, f? f? 6.6 En funktion med fyr vriler definiers med mtermer på PS-form. Använd Krnughdigrm för tt minimer funktionen. Minimer även funktionens invers. f 3,,, M,, 4, 5,,, 4, 5 f? f? 6.7 En funktion med fyr vriler definiers med mintermer på SP-form. Använd Krnughdigrm för tt minimer funktionen. Minimer även funktionens invers. f 3,,, m,, 3, 4, 6, 7, 8, 9,,, 3, 4 f? f? 6.8 Ilnd kn prolemställningen vr sådn tt viss ingångskomintioner är omöjlig oh därför inte kn inträff. Sådn mintermer eller mtermer eteknr mn med d don t re oh nvänder dom som ettor eller nollor llt efter vd som pssr äst för tt få så stor hoptgningr som möjligt. f 3,,, m3, 5, 7, d6, 5 f? f? 6.9 f 3,,, m, 4, 5 d, 3, 6, 7,8,9,,3 f? f?

13 6. En funktion med fem vriler definiers f 4, 3,,, m9,,, 3, 4, 5, 6, 8, 4, 5, 6, 7 se ifylld snningstell. Använd Krnughdigrm-metoden för tt minimer funktionen. Minimer även funktionens invers. f 4, 3,,, f? f? 4 3 f f

14 MOS-trnsistorn oh digitl kretsr 7. Identifier trnsistorerns eteenden oh skriv upp snningstellen för YA. Vilken logisk funktion är det? 7. Identifier trnsistorerns eteenden oh skriv upp snningstellen för YA,B. Vilken logisk funktion är det? 4

15 7.3 Identifier trnsistorerns eteenden oh skriv upp snningstellen för YA,B. Vilken logisk funktion är det? 7.4 Studer kretsen oh eskriv funktionen. Vilken roll spelr signlen EN? Vilket smnd gäller melln Y oh A? YB. Hur mång tillstånd kn utgången h? 7.5 Figuren visr en hlvn v en CMOS krets. Rit dit den ndr hlvn, som innehåller PMOS trnsistorern. Ange den logisk funktionen YA,B,C. 5

16 Komintorisk kretsnät 8.. Tg frm de Boolsk uttryken på minimerd SP-form för ett komintoriskt nät som omvndlr ett treitrs inärkodt tl X,, till ett inärkodt seitstl U u 5, u 4, u 3, u, u, u som är lik med kvdrten på tlet, dvs. U X. Använd Krnughdigrm. 8. Ett övervkningssystem till en vttentnk estår v fyr nivågivre 3,,,. Signlern från dess ildr ett inärt fyr-itstl X. Ett logiknät Tnk Level Logi omkodr X till ett treitrs tl U u, u, u som presenterr nivån som ett inärt tl melln oh 4. Konstruer logiknätet. Ange de Boolsk uttryken på minimerd SP-form. Utnyttj tt det är mång v insignlskomintionern som ldrig kn uppkomm! Ingångsvrilern finns tillgänglig i åde inverterd oh oinverterd form från nivågivrn. Använd ekvivlensprinipen för tt t frm logiknätet med enrt NAND-grindr. 8.3 En PIR på en flygplts hr fem nslutningsrmper GATES. Rmpern numrers...5. Vid vrje rmp finns en givre som ger en utsignl r i om ett flygpln är nslutet till rmpen, nnrs. Ett komintoriskt nät, P, hjälper flygtrfikledren tt diriger nländnde flygpln till ledig rmper. Nätet hr hr insignlern r, r, r 3, r 4, r 5 oh utsignlern y 4, y, y. Komintionen v utsignlern y 4, y, y skll i inärkod ge numret på den rmp med högst ordningsnummer som är ledig. Om ingen rmp är ledig nvänds numret y 4, y, y,,. Minimer vrje utgång för sig. 6

17 8.4 De deiml siffrorn till 9 kn kods i så klld 74-kod. Det är en viktd inärkod med positionsviktern 7, 4,, oh. Där två komintioner v de viktde tlen kn ge smm värde väljes det med det minst ntlet ettor. 74-Koden hr egenskpen tt den kodr siffrorn till 9 med minimlt ntl ettor, totlt 4 st. Konstruer en krets som översätter från 74-koden till den mer vnlig BCD-koden 84-kod. Använd en PLD-krets v AND-OR typ. Både AND-plnet oh OR-plnet kn progrmmers vr för sig. Rit kryss i figuren nedn för tt vis vilk progrmmerde förindelser som skll görs. Grindrns ingångr hr ritts med förenklt ritsätt. 8.5 En 7-segmentvkodre vkodr ett inärt 4-itstl till motsvrnde segmentild för siffrorn...9 eller hedeimlt... F. Ställ upp snningstellen, oh nge ett minimert logiskt smnd för te. segmentet G. 8.6 Vis hur en 4- multipleor kn nvänds som en funktionsgenertor oh te. generer OR-funktionen. 7

18 8.7 En mjoritetsgrind ntr på utgången smm värde som en mjoritet v ingångrn. Grinden kn te. nvänds i feltolernt logik, eller till ildehndlingskretsr. Ställ upp grindens snningstell oh minimer funktionen med Krnughdigrm. Reliser funktionen med AND-OR grindr. Reliser mjoritetsgrinden med en 8: MUX. Använd Shnnon dekomposition oh reliser mjoritetsgrinden med en : MUX oh grindr. d Reliser mjorotetsgrinden med r : MUXr. 8.8 Ställ upp heldderrens snningstell. Vis hur en heldderre relisers i en FPGA-krets. Logikelementen i en FPGA hr möjlighet tt kskdkoppl C OUT oh C IN melln grnnrn. Vis innehållet i SRAM-ellern LUT, LookUp Tle. 8

19 8.9 Vis hur en 4 ingångrs eorgrind XOR relisers i en FPGA-krets. Vis innehållet i SRAM-ellern LUT, LookUp Tle. 9

20 8. Den Boolesk funktionen Y v fyr vriler 3 definiers v snningstellen. Använd Krnughdigrmmet för tt konstruer ett minimlt nät för funktionen utnyttj som don t re. Använd vlfri grindr. Reliser funktionen Y med en 4: multipleor oh vlfri grindlogik. Använd oh som 3 multipleorns dtväljrsignler. Y Y

21 Sekvenskretsr, låskretsr oh klokde vippor 9. Kompletter tidsdigrmmet för utsignlern Q oh Q. Avståndet melln pulsern är myket längre än grindfördröjningen. Ledning, vd är låsnde insignl för NOR-grindr 9. Du känner säkert igen låskretsen till höger. Här hr de vnlig etekningrn ytts ut mot d. Fyll i snningstellen. & & d d 9.3 Rit tidsdigrmmet för utsignlen Q, för D-vippn. D CP D C Q Q D CP Q 9.4 Rit in Q i dett tidsdigrm. 9.5 JK-vippn vr en äldre typ v universlvipp. Vis hur den kn nvänds som T-vipp oh som D-vipp.

22 Flip-Flop Timing Prmeters. Vippn ldds med dt vid klokpulsens positiv flnk, men dtt måste vr stilt tiden t s före klokpulsens flnk oh även tiden t h efter. Dtt återfinns på utgången efter tiden t pd. t pd kn vr olik för respektive. Om dess tider inte respekters lir vippns funktion osäker. 9.6 Vilken är den högst klokfrekvens mn kn nvänd till kretsen i figuren utn tt risker felfunktion? Antg tt t s ns t h 5 ns t pd 3 ns 9.7 Figuren visr tre olik tillståndsmskiner. Ange vilken tillståndsmskin A, B eller C som kn operer vid högst klokfrekvens. Mrker den kritisk vägen den väg som egränsr klokfrekvensen i denn figur smt eräkn periodtiden för kloksignlen Clk.

23 Sekvensnät, utomter Moore-utomt Mely-utomt. Bestäm tillståndsdigrm oh tillståndstell för sekvenskretsen. Vilken v modellern Mely eller Moore pssr in på kretsen?. Bestäm tillståndsdigrm oh tillståndstell för sekvenskretsen. Vilken v modellern Mely eller Moore pssr på kretsen?.3 Bestäm tillståndsdigrm oh tillståndstell för sekvenskretsen. Vilken v modellern Mely eller Moore pssr in på kretsen? 3

24 .4 Finns det någr stopptillstånd, förlusttillstånd eller isolerde tillstånd i tillståndsdigrmmet till höger? Stopptillstånd: Förlusttillstånd: Isolerde tillstånd:.5 Till höger finns ett tillståndsdigrm för en Moore-utomt. Den sk upptäk dueltrykning. En p råkr få tg i trykknppen för ingångssignlen i, oh tryker enligt tidsdigrmmet nedn. Moore-utomten hr vippor som triggs v klokpulsens positiv flnk. Antg tt den från örjn står i strttillståndet Z. Fyll i vilk tillstånd mn hmnr i. Z: CP i Z Z Z Z Z Z Z Z Z Z.6 Konstruer en Moore-utomt som kräver tt insignlen är lik med ett i under tre på vrndr följnde klokpulser för tt utsignlen skll li ett u. Så fort insignlen lir noll under en klokpuls i skll kretsen återgå till tt utsignlen är noll u. Se tillståndsdigrmmet. Välj Grykod för tillståndskodningen. Z, Z, Z, Z3. nvänd AND-OR grindr. Kretsen är en säkerhetskrets som skll förhindr flsklrm. Vi kn kll prinipen för trug kk efter den svensk seden tt mn inte kn tk nej till en juden kk om den erjuds tre gånger i rd... 4

25 .7 Konstruer ett sekvensnät som upptäker när insignlen hr en övergång melln oh då signlerr dett med tt u i det nästföljnde klokpulsintervllet för tt sedn li under resten v sekvensen. Med en synkron återställningspuls NR ktiv låg skll kretsen kunn resetts så tt den evkr insignlen på nytt. Rit tillståndsdigrm för en utomt v Moore typ för sekvensnätet. Tg frm de oolsk uttryken för näst tillståndsvkodren oh utgångsvkodren för tre olik tillståndskodning: Binärkod Grykod 3 One hot kod Vis hur återställningssignlen NR nsluts till D-vipporns direktverknde PRE oh CLR ingångr..8 Konstruer en räknre som räknr {,, 3, 4, 5, 6, }. Räknesekvensen, q q q, är tänkt tt viss på en 7- segmentdisply, som ett tärningskst. Ange uttryken för nästtillståndsvkodren. Kompletter uttryken med en signl EN som fryser tillståndet för EN släppt knpp. Räknren skll räkn för EN nedtrykt knpp. Kompletter uttryken med en signl S6 som när S6 tvingr räknren till tillståndet 6 fusk-knppen. S6 är överordnd EN..9 En stegmotor är en digitl komponent som drivs med pulser. Stegmotorer rukr nsluts till räknre som räknr Gry-kod. Figurens räknre hr dessutom en mode-ingång, m m. m m -ställning fi position m m upp-räkning w m m ner-räkning w m m -ställning nnn fi position Ilnd skriver mn oolsk vilkor i stället för siffror vid pilrn. I figuren nvänds åde vilkor oh siffror. Skriv upp de minimerde uttryken för räknrens nästtillståndsvkodre. 5

26 . Dett tillståndsdigrm gäller ett synkront sekvensnät. Skriv tillståndstell. Minimer ntlet tillstånd. Skriv minimerd tillståndstell Rit minimert tillståndsdigrm.. Dett tillståndsdigrm gäller ett synkront sekvensnät. Skriv tillståndstell. Minimer ntlet tillstånd. Skriv minimerd tillståndstell oh rit minimert tillståndsdigrm.. En teknolog ygger ett iltjuvlrm som en synkron Moore-utomt. Lrmet får sin säkerhet v tt vr hemligt oh unikt. För tt kunn strt ilen måste mn mnövrer ilens reglge i följnde ordningsföljd: Vrid på strtnykeln tändning på Ställ körriktningsvisren linkers till höger 3 Vrid v strtnykeln tändning v 4 Ställ körriktningsvisren i neutrlläge höger v 5 Vrid på strtnykeln Om mn vid någon punkt i listn gör fel hmnr mn fstnr i lrmtillståndet. Gör mn llt rätt strtr ilen fstnr mn i tändspoletillståndet. Sekvensnätet hr okså en dold knpp som går till D-vipporns Reset, oh som inneär tt lrmet kn koppls PÅ/AV. Rit sekvensnätets tillståndsdigrm. 6

27 Asynkron sekvensnät. Om signlern psserr olik mång grindsteg på vägen mot utgången kn kortvrig oönskde vvikelser från snningstellen uppkomm, så kllde glithr. Vis i Krnughdigrmmet hur mn undviker dess.. Till vänster i figuren viss en SR-låskrets som två korskopplde grindnät. Till höger är kretsen omritd som en Moore -utomt. Det finns ingen kloksignl, oh inget egentligt tillståndsregister. All grindfördröjningr som finns i nätet tänks plerde i symolen melln Q oh Q som får en liknnde funktion som D-vippn i ett synkront sekvensnät. Anlyser SR-kretsen på smm sätt som en Moore-utomt..3 Vis tt det lir ett instilt nät en osilltor om ett udd ntl inverterre återkoppls. Antg tt grindfördröjningen t pd är 5 ns oh tt tre grindr kopplts som i figuren. Vilket värde får osilltionsfrekvensen?.4 Anlyser följnde krets: Rit ett tillståndsdigrm. Betrkt kretsen som ett synkront sekvensnät där klokpulsingången är en v de synkron ingångrn. Vd hr kretsen för funktion?.5 Konstruer en synkron sttemskin som fungerr som en duelflnkd D vipp DETFF, dvs vippn skll ändr värde åde på den positiv oh den negtiv flnken v klokn. Härled FSMen. T frm flödestellen oh minimer den. Tilldel tillstånd sttes, överför till Krnughdigrm oh härled de oolsk uttryken. d Rit kretsen. 7

28 .6 Anlyser följnde krets: Härled de oolsk uttryken för stte vrilern Y oh Y. Härled eittionstellen. Ledning: Vilken funktion finns i de två innerst looprn Härled flödestell, tilldel symolisk sttes oh rit FSM:en. d Identifier funktionen hos den synkron kretsen. Vilken vipp motsvrr den?.7 Dtöverföring melln olik hip inom elektronikutrustningr kn ske med den så kllde IC-ussen. Den estår v två ledningr SDA oh SCL. I figuren ovn viss en prinipild när ett fåtl itr överföres, men det vnlig är tt myket större dtmängder överförs. Dt D får r ändrs när SCL. Positiv oh negtiv SDA-flnk när SCL nvänds som unik strt oh stopp-signler för dtöverföringen. Under dtöverföringen förekommer därför ing sådn flnker. Innn stop-pulsen kn mottgren kvitter motgningen i figuren ortses från dett. För tt kunn studer IC-dtöverföringen vill mn konstruer ett Moore-ekvivlent synkront sekvensnät som ger utsignlen usy under tiden från strt-signlen frm till stopp-signlen. När ingen dtkommuniktion förekommer är usy. Ställ upp en primitiv flödestell Minimer flödestellen Välj tillståndskod Ställ upp eittionstellen motiver tt konstruktionen är fri från kritisk kpplöpning Tg frm minimerde oolesk uttryk motiver hzrdfrihet 8

29 Avkodning v minnen oh I/O-kretsr. Ett dynmiskt RAM-minne estår v ett ntl 56Mit minneskpslr som är orgniserde som 3 M 8. Hur mång kpslr ehövs för 56M 64? Hur mång kpslr ehövs för 5M 7? Vd kn nledningen till den underlig itredden 7 vr?. 3:8-vkodre ROM 5k 8 SRAM 5k 8 En viss 6 itrs proessor kn dresser 4 itr. Minnesrymden fördels melln ROM, SRAM oh IO-kretsr. Adressvkodningen sker med hjälp v en 3:8-vkodre. Hur stort är figurens RAM? Vilket är dressområdet uttrykt i hedeiml siffror? Hur ändrr mn dressområdet till 98 AFFFFF? Ändr till dressområde 48 5FFFFF? 9

30 d Oftst läser en proessor sin först instruktion från dress. Då måste det finns ett läsminne på den dressen. Antg tt ROM-minnet är M 6 itr oh tt dressområdet är oh frmåt. ROM Chip är 5k 8. Hur mång kpslr ehövs? Hur skll vkodren nsluts? Hur skll minneskretsrn nsluts? Ange dressområden för vkodrens utgångr med hedeiml siffror. e Vilket dressområde lir ledigt för SRAM oh IO-kretsr?.3 Periferienheter, I/O, nsluts oft till en CPU som om dom vore minneskretsr fst med r ett fåtl minneseller. E. en reltidsklok-krets håller red på tid oh dtum. Den styrs/vläses från 8 inyggd 8- itrs register. Anslut en 8 registers minnesmppd periferienhet I/O till en CPU. CPU:n hr 6 itrs dtuss vi nvänd er r 8, oh en 4 itrs dressuss. Använd en 3:8-vkodre oh vid ehov grindr. Periferienheten skll koppls in så tt den får dressern 7. Jämför med föregående uppgift. Vd är ofullständig vkodning? 3

31 Lösningr Tlsystem oh koder. Nednstående deiml tl med sen är givn. Ange motsvrnde inär tl d Omvndl nednstående inärtl till deimltl ,5.3 Omvndl nednstående inärtl s till motsvrnde oktl tl s8 oh hedeiml tl s6. D B d DE e Omvndl nednstående hedeiml tl s6 till motsvrnde oktl tl s8. 94D E.7A Omvndl det oktl s8 tlet till motsvrnde hedeiml tl s D 6.6 Skriv det hedeiml s6 tlet BAC 6 på deiml form s. BAC Vd krkteriserr Gry-koder, oh hur kn de konstruers? Grykoder hr vståndet ett melln kodorden. Det är ldrig mer än en it i tget som ändrs vid övergångrn från ett kodord till näst. Om mn vill konstruer en N-itrs Gry-kod kn mn gör dett ur koden för N- itr. Först följer N- itskoden med "" som it N, därefter fortsätter mn näst hlv med N- koden en gång till men med kodorden i omvänd ordning oh med "" som it N. Dett är en "spegld inärkod". Så här gör mn 3-its Grykod från -its Grykod: är en -its Grykod. är koden kompletterd "" som it 3. är -its koden i omvänd ordning. är den omvänd koden kompletterd med "" som it 3. Smmntget lir 3-its Grykoden: Dett är inte den end tänkr 3-its Grykoden, en nnn möjlig kod för tre itr är te.. I llmänhet är det den "speglde inärkoden" mn menr när mn tlr om Grykod..8 Skriv följnde tl med teken med två-komplementsnottion, 6, 5, 4, 3,,,

32 d är för stort positivt tl! Skriv följnde tl med teken med ett-komplementsnottion, 6, 5, 4, 3,,, d - 7 Digitl ritmetik. Adder för hnd följnde pr inär tl... d... Adder eller sutrher ddition med motsvrnde negtiv tl nednstående tl. Tlen skll representers som inär 4-itstl Nile på två-komplementform d Multiplier för hnd följnde pr v tekenlös inär tl... d.. 3

33 .4 Divider för hnd följnde pr v tekenlös inär tl. / / Om divisionen är en heltlsdivision lir svret påuppgift heltlet..5 Antg tt ett 3-itrs flyttl lgrs i ett register som: 4C8 6 vilket reellt deimltl är det? 3-itrs flyttl lgrs normerde som. En tekenit, 8 itr för -eponenten utrykt som ett eess-7 tl, 3 itr för inlern. Eftersom ll tl örjr med. så ehöver denn. inte lgrs, utn underförstås. Eess-7 inneär tt tlet 7 läggs till ll eponenter, som därmed lltid lgrs som positiv tl. Dett hr fördelen tt flyttlen kn storlekssorters som om de vore heltl!.6 Det störst kvntiseringsfelet inträffr melln 4 oh 6 eller melln -6 oh -4. Felet lir 6-4/. Någon representtion för tlet finns inte, mn kn välj tt nvänd det minst positiv oh minst negtiv tlen som oh -. Så görs i IEEE-stndrden. 33

34 .7 Flyttlsddition Flyttls multipliktion enklre än dditionen! 34

35 Mängdräkning oh kuteori 3. Bevis v den distriutiv lgen med hjälp v Venn-digrm. y z y z y z y z 3. Bevis v De Morgns lg med hjälp v Venn-digrm. y y y y 3.3 Mintermerns plering i ett tre vrilers Venn-digrm. 35

36 Venndigrm-metoden visr tydligt de oolsk smnden, men är svår tt nvänd vid fler än tre vriler. Den är oprktisk tt gör om till en dtorlgoritm. 3.4 Representer följnde funktion v tre vriler, som en 3-dimensionell ku med Gry-kodde hörn. f,, m,,3, 4,6 Använd kuen för tt förenkl funktionen. Den kuisk representtionen är svår tt åskådliggör för fler än 3 dimensioner, men minimerings-metoden kn enkelt definiers för vlfritt ntl vriler oh dimensioner oh sedn ligg till grund för dtor-lgoritmer. 36

37 37 Boolsk lger oh grindr 4. d d d d f f } { konsensus f d f e f f konsensus f } { g d y y d d d d d d d d f } { h demorgn f } { i demorgn f } { 4. Bevis lgeriskt tt följnde smnd är giltig. 3 3 VL: VL: VL: d 3 3 VL: Förenkl nednstående tre uttryk så långt som möjligt. z y yz ort t konsensus yz z y yz z y z y } { y y y y y y y y y 4.4 Förenkl nednstående uttryk så långt som möjligt.

38 Förenkl f, som relisers v figurens grindnät, så långt som möjligt, oh nge funktionens nmn. Det lir en XNOR funktion Ange de logisk uttryken för A, B, C oh D. e e D e e C e e B e e A 4.9 Förenkl det smmnstt uttryken nedn så långt som möjligt. funktionen XOR

39 39 4. Vis tt Denn gång prövr vi tt evis smnden med så klld perfekt induktion. Det inneär tt mn direkt sätter in ll fyr komintionern v de två vrilern i de olik uttryken. Om utryken hr smm snningstell så är dom ekvivlent. När vrilern är få, kn denn ike lgerisk metod nvänds. HL VL HL VL : : 4. Här viss de Europeisk grindsymolern. Rit de motsvrnde meriknsk grindsymolern. AND OR NOT NAND NOR XOR XNOR 4. Från tet till Booleskt uttryk. 5 4 u 5 u u u

40 Snningstellen, SP oh PS form, fullständig logik 5. Kontkter vilds lltid i opåverkt läge. För tt få lmpn tt lys sk mn smtidigt tryk på siffrorn 4 oh det vill säg kontkt d oh h. Oserver tt mn inte får tryk ned någon nnn kontkt! Den logisk funktionen lmpn lyser lir: f d e f g h i k Kodlåset är en vkodre, det vkodr en end minterm i sningstellen. 5. f f Funktionen på SP-normlform: f Funktionen på PS-normlform: f 5.3 f, y, z y yz z yz yz yz yz yz yz f, y, z m, f, y, z 5.4 f, y, z y yz y z y y z z yz y y z,,,, M,7 y z y z m,, 3, 4, 5, 6 yz y y yz y z yz y z yz yz y yz y yz y yz yz yz y z z yz y z z yz yz yz yz yz yz yz yz yz yz yz yz yz yz yz yz f, y, z m,,,, m, 4, 5, 6, 7 f, y, z M,,3 y z y z y z yz y 5.5 & & 5.6 4

41 5.7 Pritetskrets för jämn pritet, ntlet ettor skll vr ett jämnt tl,, eller 4 för på utgången. d J Hälften v snningstellens rder skll vr. Denn funktion går inte tt minimer, utn ll 8 mintermern ehöver vr med i SP-formen! J d d d d d d d d Den som redn nu känner till Krnugh-digrmmet kn i ett sådnt direkt se tt ing hoptgningr låter sig görs. Med NOR-grindr lämpr sig PS-formen ättre. J d d d d d d d d 4

42 Krnughdigrmmet d f d d d f d d f d f d 6.5 Snningstell oh Krnughdigrm. Den minimerde funktionen erhålls genom hoptgning v :or i Krnughdigrmmet. Funktionens invers fås om :orn felktigt ts ihop som om dom vore :or. f 3,,, m,, 4, 8,, f? f? 3 f

43 f f { : or som: or } 6.6 Snningstell oh Krnughdigrm. Den minimerde funktionen erhålls genom hoptgning v :or i Krnughdigrmmet. Funktionens invers fås om :orn felktigt ts ihop som om dom vore :or. f 3,,, M,, 4, 5,,, 4, 5 f? f? 3 f f f { : or som: or }

44 6.7 Snningstell oh Krnughdigrm. Den minimerde funktionen erhålls genom hoptgning v :or i Krnughdigrmmet. Funktionens invers fås om :orn felktigt ts ihop som om dom vore :or. f 3,,, m,, 3, 4, 6, 7, 8, 9,,, 3, 4 f? f? 3 f f 3 3 f { : or som: or }

45 6.8 f 3,,, m3, 5, 7, d6, 5 f? f? f 3 f f 3,,, m, 4, 5 d, 3, 6, 7,8,9,,3 f? f? f f eller f 45

46 6. Krnughdigrm för fem vriler. Det vänstr digrmmet är för 4 oh det högr för 4. Om smm hoptgning kn görs i åd digrmmen utgår vrieln 4 eller 4 nnrs ts respektive vriel med. f 4, 3,,, f? f? f f

47 MOS-trnsistorn oh digitl kretsr 7. Det är en CMOS-inverterre. 7. Y A. Det är en CMOS-NAND-grind. 7.3 Y A B. Det är en CMOS-OR-grind. Y A B. 47

48 7.4 Kretsen är en inverterre med THREE-stte utgång. När EN lir den inringde delen inkoppld oh Y A smndet melln Y oh A lir då invertering,. När EN lir den inringde delen urkoppld. Utgången är då i ett tredje tillstånd, förutom oh finns det således ett tillstånd urkoppld. Eftersom utgången Y nu inte är inkoppld kn den då inte heller påverks v A. THREE-stte utgångr nvänds för tt gör det möjligt tt koppl smmn mång utgångr till en oh smm ledning ussledning. Fler kretsrs utgångr kn utnyttj en gemensm ledning, förutstt tt r en v kretsrn är ktiv EN åt gången de övrig hr EN oh är urkopplde. 7.5 CMOS-kretsr estår v två delnät som är vrndrs inverser. Pull-up-nätet, PUN, styr till utgången medns Pull-down-nätet styr. Anlyserr mn Pull-down-nätet så får mn därför funktionen Y:s invers. Y A C B Y A C B AC B A C B A C B Pull-up-nätet skll således estå v A oh C i prllellkoppling sedn seriekopplde med B. Användndet v PMOS-trnsistorer inverterr vrilern A, B oh C. A C B 48

49 Komintorisk kretsnät 8. X U X u 5 u 4 u 3 u u u Av snningstellen frmgår tt u lltid är lik med. u utgången kn därför nsluts V jord så tt den får konstnten. Mn kn vidre se tt u lltid är smm som. u utgången kn därför förinds direkt med ingången. De övrig funktionern ts frm med Krnughdigrmmen. 8. X 3 U u u u Vi kn direkt se i tellen tt u oh 3 är lik vrför u kn nsluts direkt till 3. u 3. De övrig uttryken fås med hjälp v ders Krnughdigrm. 49

50 Grindnät: 8.3 Snningstell r 5 r 4 r 3 r r y 4 y y

51 y 8 y 4 y y y y y y Två v AND-grindrn kn dels v y 8,y oh y 8,y,y -näten

52 8.6 En 4- multipleor som funktionsgenertor för OR-funktionen. 8.7 M M 7 M M g h g h 5

53 8.8 För tt gör en heldderre ehöver vi nvänd den övre MUXen till summfunktionen, oh den nedre MUXen, som lltid är nsluten till C OUT, nvänds till Crry-funktionen. I stället för väljer vi C IN. För tt den övre MUXen skll nsluts till logikelementets utgång sk utgångsmuen styrs med i stället för med 3. A B C IN C OUT

54 8. Alterntivt kn XOR-grinden även nvänds till MUX-ingångrn oh. 54

55 Sekvenskretsr, låskretsr oh klokde vippor 9. Figuren visr en SR-lth, men mot slutet v insignls-sekvensen förekommer den förjudn insignlskomintionen S, R. Utgångrn lir inte vrndrs inverser för denn komintion. För NOR-grindr är låsnde insignl, därför lir Q så länge S är kvr på JK-vippn kn nvänds som T-vipp eller som D-vipp. När vippor koppls ihop med vrndr finns det inverterde utgångr tt tillgå, då ehövs inte inverterren för tt gör JK-vippn till D-vipp. 55

56 9.6 T t pd t f T t s pd t s 3 [ ns] MHz

57 57 Sekvensnät, utomter. Ur kretsshemt kn följnde smnd ställs upp: q q q q q q q Ingen utgångsvkodre finns utn vipporns tillstånd nvänds direkt. Moore-modellen skll nvänds.. Ur kretsshemt kn följnde smnd ställs upp: q q q q q q q q q q q q U Eftersom U eror direkt v så måste Mely-modellen nvänds.

58 .3 Ur kretsshemt kn följnde smnd ställs upp: U q q q q q q q q q q q Eftersom U r eror på tillståndet oh är oeroende v så måste Moore-modellen nvänds..4. Stopptillstånd: Z3 Förlusttillstånd: Z7 Isolerde tillstånd: Z.5 Z: CP i Z Z Z Z4 Z6 Z Z Z Z Z3.6 Från tillståndsdigrm till kodd tillståndstell. 58

59 .7 Tillståndskodning Binär: Tillståndskodning Gry: 59

60 Tillståndskodning One hot: Så här gör mn återställning till med CLR ingångrn för Bin/Gry tillståndskodningen, respektive till med PRE/CLR ingångrn för one hot tillståndskodningen. 6

61 .8 För se tillstånd krävs det tre vippor. Då finns det 8 tillstånd totlt, två tillstånd som inte ingår i sekvensen. Vi speifierr för säkerhets skull vd som skll ske med dom tillstånden, så tt räknren inte skll risker tt fstn. Omskrivning med EN EN på stället mrsh : Omskrivning med S6 S6 näst tillstånd : q q q.9 ' EN q ' EN q ' EN q EN q EN q EN q q q q '' q '' q '' q ' S6 ' S6 ' S6 6

62 . 6

63 63. Grupper med smm utsignl:,,,, e d f P Undersök efterföljnde tillstånd:,,,,,,,,,,,,,,,,,,,,,,,,,,,, f e f e f d f d f f f f e d e d f e d f e d i i i i i i i i i i i i Grupper med okså smm efterföljre: 3,, P P e d f P.

64 Asynkron sekvensnät. G BC AB { Hzrdfritt} G BC AB AC. Till vänster i figuren viss en SR-låskrets som två korskopplde grindnät. Till höger är kretsen omritd som en Moore-utomt. Q R S Q R S Q R S Q S R RQ Den kodde tillståndstellen rukr klls för eittionstell när mn retr med synkron tillståndsmskiner. För vrje insignl kolumn måste det finns åtminstone något tillstånd där Q Q. Sådn tillstånd är stil oh de rukr mrkers genom tt rings in. Tillståndsdigrmmet följer ur eittionstellen. Den okodde tillståndstellen rukr klls för flödestell när det gäller synkron sekvensnät..3 64

65 .4 Vid positiv flnk går C från till oh C kopplr den övre q vippn till utgången. Vid negtiv flnk går C från till oh C kopplr den undre q vippn till utgången. Resulttet lir en D-vipp som som regerr på klokns åd flnker..5 Det finns ått komintioner som kn inträff för de fyr ingångsvärdeskomintionern CD oh de två utgångsvärdeskomintionern O: Möjlig input/output komintioner Present stte Net stte Kommentr Stte tg CDO CDO CDO A Utgången får D s värde när C ändrr värde B C Ingen ändring v O när D ändrr värde D E F G H Flödestell stile sttes mrkerde som old font Present Net Stte Output stte CD A A C - E B B D - E C A C H - D B D H - E A - G E F A - H F G - D G E H - D H F Vi ser direkt tt ingen minimering kn görs genom tt former ekvivlensklsser eftersom ll ått tillstånden hr olik utgångr där de hr stil tillstånd oh där de hr don t res I tellen. Merger-digrmmet lir som följer: 65

66 Den minimerde flödestellen lir sålund Flow Tle stle sttes mrked s old Present Net Stte Output stte CD A A A F E B B B F E E A B E E F A B F F Tilldel sttes, gör Krnugh-minimering oh t frm oolesk tilldelningr. De möjlig stte tilldelningrn är E, B, A, F plus ders rottioner oh spegellösningr: Possile stte ssignments A F B E Den resulternde flödestellen lir då Flow Tle stle sttes mrked s old Present Net Stte Output stte CD Oh de motsvrnde Krnugh-digrmmen oh oolesk uttryken lir: S S O S CD S S C D S S S S C D S D S S C S C D S CD S S C 66

67 .6 Härled de oolsk uttryken för tillståndsvrilern. Svr: Y Y Y Y Y C Y C Y Y I Y I C Y C Härled eittionstellen. Ledning! Vilken funktion finns i de två innerst looprn De två inre looprn är hzrd-fri MUX:r! Härled flödestell, tilldel symolisk sttes oh rit FSM:en. Identifier funktionen hos den synkron kretsen. Vilken vipp motsvrr den? Positiv flnk-triggd T-vipp. 67

68 .7 Följ tidsdigrmmet oh inför nytt tillstånd vid vrje händelse som inte vrit med tidigre. I tillstånd väntr vi på strtflnken, då är insignlen omöjlig mrkerd med *. Protokollet förjuder ändring v dt SDA när SCL är hög. Därför är insignl omöjlig i tillstånd e mrkerd med *. Dett ger två etr don t re positioner i tellen. Mn ser sedn direkt vilk tillstånd som kn slås ihop. Som tillståndskod kn Gry-kod nvänds.,, de, oh. kn nvänds som don t re ortsett från. Näten ildr smmnhängnde områden i Krnughdigrmmen oh är därför hzrdfri om näten hr två nivåer. Att reliser med vlfri grindr. 68

69 Avkodning v minnen oh I/O-kretsr. Ett dynmiskt RAM-minne estår v ett ntl 56Mit minneskpslr som är orgniserde som 3 M 8. Hur mång kpslr ehövs för 56M 64? Minne N 56M M 64 itr. Kpsel p 3M q 8 itr. Antl kolumner k M/q 64/8 8. Antlet rder r N/p 56M/3M 8. Antl kpslr K r k Hur mång kpslr ehövs för 5M 7? Vd kn nledningen till den underlig itredden 7 vr? Minne N 5M M 7 itr. Kpsel p 3M q 8 itr. Antl kolumner k M/q 7/8 9. Antlet rder r N/p 5M/3M 6. Antl kpslr K r k Den ovnlig itredden De 8 etr itrn nvänds för tt korriger enkelfel, oh för tt kunn upptäk duelfel.. En viss 6 itrs proessor kn dresser 4 itr. Minnesrymden fördels melln ROM, SRAM oh IO-kretsr. Adressvkodningen sker med hjälp v en 3:8-vkodre. Hur stort är figurens RAM? Vilket är dressområdet uttrykt i hedeiml siffror? Minneskpsel: p 5k q 8 itr Minne: r 3 k K 3 6 M k q 8 6 itr N p r 5k 3,5M Adressområde: 69

70 Hur ändrr mn dressområdet till 98 AFFFFF? Ändr till dressområde 48 5FFFFF? Vi yter plts på A3 oh A! d ROM-minnet är M 6 itr oh tt dressområdet är oh frmåt. ROM Chip är 5k 8. Hur mång kpslr ehövs? Minne: Hur skll vkodren nsluts? N M 45k ordlängden M 6 itr Hur skll minneskretsrn nsluts? Minneskpsel: Ange dressområden för vkodrens utgångr med p 5 k ordlängden q 8 itr hedeiml siffror. Antlet kpselrder r N/p 45k/5k 4 Antlet kpselkolumner k M/q 6/8 Antlet kpslr K r k 4 8 7

71 mmm mmmm mmmm mmmm mmmm - F F F F -7FFFF - F F F F 8-FFFFF - F F F F -7FFFF - F F F F 8-FFFFF Totlt ROM FFFFF e Vilket dressområde lir ledigt för SRAM oh IO-kretsr? mmm mmmm mmmm mmmm mmmm - F F F F -7FFFF - F F F F 8-FFFFF - F F F F 3-37FFFF - F F F F 38-3FFFFF Möjlig SRAMI/O dresser 3FFFFF.3 Anslut en 8 registers minnesmppd periferienhet I/O till en CPU. CPU:n hr 6 itrs dtuss vi nvänd er r 8, oh en 4 itrs dressuss. Använd en 3:8-vkodre oh vid ehov grindr. Periferienheten skll koppls in så tt den får dressern 7. Jämför med föregående uppgift I/O dresser, 7FFFF finns enligt tidigre uppgift på 3:8-vkodrens utgång 4. Den vkodr A3... A9, periferienheten vkodr själv A... A, resten får vkods med en oh-grind. 7

72 Vd är ofullständig vkodning? För fullständig vkodning nvände vi en &-grind med 7 ingångr! I lnd gör mn en ofullständig vkodning. Mn struntr då i tt t med ll dress-signlern oh kn därmed nvänd en grind med färre ingångr. I/O-enhetens dressering lir mångtydig, den kn dressers med mång olik dresser, men den som skriver progrmkoden estämmer ju själv vilk dresser det är som nvänds. Huvudsken är tt mn ser till tt I/Oenhetens dresser inte kolliderr med någon nnn enhets dresser. 7

73 73

IE1204 Digital Design

IE1204 Digital Design IE1204 Digitl Design F1 F3 F2 F4 Ö1 Booles lgebr, Grindr MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombintorisk kretsr F7 F8 Ö4 F9 Ö5 Multipleor KK2 LAB2 Låskretsr, vippor, FSM F10 F11 Ö6

Läs mer

Tentamen i EDA320 Digitalteknik-syntes för D2

Tentamen i EDA320 Digitalteknik-syntes för D2 CHALMERS TEKNISKA HÖGSKOLA Institutionen för dtorteknik Tentmen i EDA320 Digitlteknik-syntes för D2 Tentmenstid: tisdgen den 24 ugusti 999, kl. 08.45-2.45, Sl: mg. Exmintor: Peter Dhlgren Tel. expedition

Läs mer

14. MINSTAKVADRATMETODEN

14. MINSTAKVADRATMETODEN 4 MINTAKADRATMETODEN Nu sk vi gå igenom någr olik sätt tt lös ekvtionssystemet Ax Om A är m n mtris med m n så sägs systemet vr överestämt och det sknr då i llmänhet lösningr Istället söker mn en pproximtiv

Läs mer

PASS 1. RÄKNEOPERATIONER MED DECIMALTAL OCH BRÅKTAL

PASS 1. RÄKNEOPERATIONER MED DECIMALTAL OCH BRÅKTAL PASS. RÄKNEOPERATIONER MED DECIMALTAL OCH BRÅKTAL. Tl, bråktl och decimltl Vd är ett tl för någonting? I de finländsk fmiljern brukr det vnligtvis finns två brn enligt Sttistikcentrlen (http://www.tilstokeskus.fi/tup/suoluk/suoluk_vesto_sv.html).

Läs mer

Trigonometri. 2 Godtyckliga trianglar och enhetscirkeln 2. 3 Triangelsatserna Areasatsen Sinussatsen Kosinussatsen...

Trigonometri. 2 Godtyckliga trianglar och enhetscirkeln 2. 3 Triangelsatserna Areasatsen Sinussatsen Kosinussatsen... Trigonometri Innehåll 1 Rätvinklig tringlr 1 Godtyklig tringlr oh enhetsirkeln 3 Tringelstsern 4 3.1 restsen.............................. 4 3. Sinusstsen.............................. 5 3.3 Kosinusstsen.............................

Läs mer

Associativa lagen för multiplikation: (ab)c = a(bc). Kommutativa lagen för multiplikation: ab = ba.

Associativa lagen för multiplikation: (ab)c = a(bc). Kommutativa lagen för multiplikation: ab = ba. Rtionell tl Låt oss skiss hur mn definierr de rtionell tlen utifrån heltlen. Förutom tt det ger en inblick i hur mtemtiken är uppbyggd, är dett är ett br exempel på ekvivlensreltioner och ekvivlensklsser.

Läs mer

Uppsala Universitet Matematiska Institutionen Thomas Erlandsson

Uppsala Universitet Matematiska Institutionen Thomas Erlandsson Uppsl Universitet Mtemtisk Institutionen Thoms Erlndsson RÄTA LINJER, PLAN, SKALÄRPRODUKT, ORTOGONALITET MM VERSION MER OM EKVATIONSSYSTEM Linjär ekvtionssystem och den geometri mn kn härled ur dess är

Läs mer

Byt till den tjocka linsen och bestäm dess brännvidd.

Byt till den tjocka linsen och bestäm dess brännvidd. LINSER Uppgit: Mteriel: Teori: Att undersök den rytnde örmågn hos olik linser och tt veriier linsormeln Ljuskäll och linser ur Optik-Elin Med hjälp v en lmp och en ländre med ler öppningr år vi ler ljusstrålr,

Läs mer

Tentamen i ETE115 Ellära och elektronik, 25/8 2015

Tentamen i ETE115 Ellära och elektronik, 25/8 2015 Tentmen i ETE5 Ellär och elektronik, 5/8 05 Tillåtn hjälpmedel: Formelsmling i kretsteori. Oserver tt uppgiftern inte är sorterde i svårighetsordning. All lösningr skll ges tydlig motiveringr. Bestäm Thévenin-ekvivlenten

Läs mer

Operativsystemets uppgifter. Föreläsning 6 Operativsystem. Skydd, allmänt. Operativsystem, historik

Operativsystemets uppgifter. Föreläsning 6 Operativsystem. Skydd, allmänt. Operativsystem, historik Opertivsystemets uppgifter Föreläsning 6 Opertivsystem Opertivsystemets uppgifter Historik Skydd: in- oh utmtning, minne, CPU Proesser, tidsdelning Sidindelt minne, virtuellt minne Filsystem Opertivsystemet

Läs mer

Uppsala Universitet Matematiska Institutionen Bo Styf. Sammanfattning av föreläsningarna 5-7.

Uppsala Universitet Matematiska Institutionen Bo Styf. Sammanfattning av föreläsningarna 5-7. Uppsl Universitet Mtemtisk Institutionen Bo Styf LAoG I, 5 hp ES, KndM, MtemA -9-6 Smmnfttning v föreläsningrn 5-7. Föreläsningrn 5 7, 7/9 6/9 : Det kommer, liksom i lärooken, inte tt finns utrymme för

Läs mer

GEOMETRISKA VEKTORER Vektorer i rummet.

GEOMETRISKA VEKTORER Vektorer i rummet. GEOMETRISKA VEKTORER Vektorer i rummet. v Någr v de storheter som förekommer inom nturvetenskp kn specificers genom tt ders mätetl nges med ett end reellt tl. Exempel på sådn storheter, som klls sklär

Läs mer

MEDIA PRO. Introduktion BYGG DIN EGEN PC

MEDIA PRO. Introduktion BYGG DIN EGEN PC BYGG DIN EGEN PC MEDIA PRO Introduktion Dett är Kjell & Compnys snguide till hur Dtorpketet MEDIA PRO monters. Att ygg en dtor är idg myket enkelt oh kräver ingen tidigre erfrenhet. Det ehövs ing djupgående

Läs mer

IE1204 Digital Design

IE1204 Digital Design IE204 Digital Design F F3 F2 F4 Ö Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK LAB Kombinatoriska kretsar F7 F8 Ö4 F9 Ö5 Multiplexor KK2 LAB2 Låskretsar, vippor, FSM F0 F

Läs mer

Kvalificeringstävling den 2 oktober 2007

Kvalificeringstävling den 2 oktober 2007 SKOLORNAS MATEMATIKTÄVLING Svensk Mtemtikersmfundet Kvlifieringstävling den oktober 007 Förslg till lösningr 1 I en skol hr vr oh en v de 0 klssern ett studieråd med 5 ledmöter vrder Per är den ende v

Läs mer

Kontrollskrivning 3 till Diskret Matematik SF1610, för CINTE1, vt 2019 Examinator: Armin Halilovic Datum: 2 maj

Kontrollskrivning 3 till Diskret Matematik SF1610, för CINTE1, vt 2019 Examinator: Armin Halilovic Datum: 2 maj Kontrollskrivning 3 till Diskret Mtemtik SF60, för CINTE, vt 209 Emintor: Armin Hlilovic Dtum: 2 mj Version B Resultt: Σ p P/F Etr Bonus Ing hjälpmedel tillåtn Minst 8 poäng ger godkänt Godkänd KS nr n

Läs mer

Tentamen i ETE115 Ellära och elektronik, 4/1 2017

Tentamen i ETE115 Ellära och elektronik, 4/1 2017 Tentmen i ETE5 Ellär och elektronik, 4/ 07 Tillåtn hjälpmedel: Formelsmling i kretsteori. Oserver tt uppgiftern inte är sorterde i svårighetsordning. All lösningr skll ges tydlig motiveringr. v 0 i 0 Beräkn

Läs mer

Bokstavsräkning. Regler och knep vid bokstavsräkning

Bokstavsräkning. Regler och knep vid bokstavsräkning Mtemtik Bokstvsräkning Du står nu inför en ny kurs i mtemtik, där meningen är tt du sk tillgodogör dig ny teorier, som smtlig leder frm till övningr och uppgifter. Även om du förstått vd teorin sk nvänds

Läs mer

Tentamen Programmeringsteknik II Skrivtid: Skriv läsligt! Använd inte rödpenna! Skriv bara på framsidan av varje papper.

Tentamen Programmeringsteknik II Skrivtid: Skriv läsligt! Använd inte rödpenna! Skriv bara på framsidan av varje papper. Tentmen Progrmmeringsteknik II 014-10-4 Skrivtid: 1400 1900 Tänk på följnde Skriv läsligt! Använd inte rödpenn! Skriv r på frmsidn v vrje ppper. Börj lltid ny uppgift på nytt ppper. Lägg uppgiftern i ordning.

Läs mer

Exponentiella förändringar

Exponentiella förändringar Eonentiell förändringr Eonentilfunktionen - llmänt Eonentilfunktionen r du tidigre stött å i åde kurs oc 2. En nyet är den eonentilfunktion som skrivs y = e. (Se fig. nedn) Tlet e, som är mycket centrlt

Läs mer

Finaltävling den 20 november 2010

Finaltävling den 20 november 2010 SKOLORNAS MATEMATIKTÄVLING Svensk Mtemtikersmfundet Finltävling den 20 november 2010 Förslg till lösningr Problem 1 Finns det en tringel vrs tre höjder hr måtten 1, 2 respektive 3 längdenheter? Lösning

Läs mer

V1. Intervallet [a,b] är ändligt, dvs gränserna a, b är reella tal och INTE ±. är begränsad i intervallet [a,b].

V1. Intervallet [a,b] är ändligt, dvs gränserna a, b är reella tal och INTE ±. är begränsad i intervallet [a,b]. Armin Hlilovic: ETRA ÖVNINGAR Generliserde integrler GENERALISERADE INTEGRALER När vi definierr Riemnnintegrl f ( ) d ntr vi tt följnde två krv är uppfylld: V. Intervllet [,] är ändligt, dvs gränsern,

Läs mer

1. (6p) (a) Använd delmängdskonstruktionen för att tillverka en DFA ekvivalent med nedanstående NFA. (b) Är den resulterande DFA:n minimal? A a b.

1. (6p) (a) Använd delmängdskonstruktionen för att tillverka en DFA ekvivalent med nedanstående NFA. (b) Är den resulterande DFA:n minimal? A a b. UPPSAA UNIVERSITET Mtemtisk institutionen Slling (070-6527523) PROV I MATEMATIK AUTOMATATEORI 18 okt 2012 SKRIVTID: 8-13. HJÄPMEDE: Ing. MOTIVERA AA ÖSNINGAR NOGGRANT. BETYGSGRÄNSER: För etygen 3, 4 respektive

Läs mer

Datorernas matematik

Datorernas matematik Stockholms mtemtisk cirkel Dtorerns mtemtik Dniel Ahlsén Jor Bgge Institutionen för mtemtik, KTH och Mtemtisk institutionen, Stockholms universitet 2019 2020 Stockholms mtemtisk cirkel genom tidern (tidigre

Läs mer

Listor = generaliserade strängar. Introduktion till programmering SMD180. Föreläsning 8: Listor. Fler listor. Listindexering.

Listor = generaliserade strängar. Introduktion till programmering SMD180. Föreläsning 8: Listor. Fler listor. Listindexering. 1 Introduktion till progrmmering SMD180 Föreläsning 8: Listor 2 Listor = generliserde strängr Strängr = sekvenser v tecken Listor = sekvenser v vd som helst [10, 20, 30, 40] # en list v heltl ["spm", "ungee",

Läs mer

V1. Intervallet [a,b] är ändligt, dvs gränserna a, b är reella tal och INTE ±. är begränsad i intervallet [a,b].

V1. Intervallet [a,b] är ändligt, dvs gränserna a, b är reella tal och INTE ±. är begränsad i intervallet [a,b]. Armin Hlilovic: ETRA ÖVNINGAR Generliserde integrler GENERALISERADE INTEGRALER När vi definierr Riemnnintegrl f ( ) d ntr vi tt följnde två krv är uppfylld: V. Intervllet [,] är ändligt, dvs gränsern,

Läs mer

x 12 12 = 32 12 x 11 + 11 = 26 + 11 x 20 + 20 = 45 + 20 x=3 x=5 x=6 42 = 10x x + 10 = 15 x + 10 10 = 15 10 11 + 9 = 20 x = 65 x + 36 = 46

x 12 12 = 32 12 x 11 + 11 = 26 + 11 x 20 + 20 = 45 + 20 x=3 x=5 x=6 42 = 10x x + 10 = 15 x + 10 10 = 15 10 11 + 9 = 20 x = 65 x + 36 = 46 Vilket tl sk stå i rutn så tt likheten stämmer? + Lös ekvtionen så tt likheten stämmer. = + 9 = + = + = = Det sk stå 9 i rutn. Subtrher båd leden med. r -termen sk vr kvr i vänstr ledet. Skriv rätt tl

Läs mer

============================================================ V1. Intervallet [a,b] är ändligt, dvs gränserna a, b är reella tal och INTE.

============================================================ V1. Intervallet [a,b] är ändligt, dvs gränserna a, b är reella tal och INTE. GENERALISERADE INTEGRALER ============================================================ När vi definierr Riemnnintegrl ntr vi tt följnde två krv är uppfylld: V. Intervllet [,] är ändligt, dvs gränsern,

Läs mer

MATEMATISKT INNEHÅLL UPPGIFT METOD. Omvandla mellan olika längdenheter. METOD BEGREPP RESONEMANG. Ta reda på omkrets. 5 Vilken omkretsen har figuren?

MATEMATISKT INNEHÅLL UPPGIFT METOD. Omvandla mellan olika längdenheter. METOD BEGREPP RESONEMANG. Ta reda på omkrets. 5 Vilken omkretsen har figuren? Kn du dett? Uppgiftern här är tänkt tt nvänds för utvärdering v hur elevern tillägnt sig kpitlets mtemtisk innehåll. Låt elevern, prvis eller i mindre grupper, lös uppgiftern tillsmmns och förklr för vrndr

Läs mer

definitioner och begrepp

definitioner och begrepp 0 Cecili Kilhmn & Jokim Mgnusson Rtionell tl Övningshäfte Avsnitt definitioner och egrepp DEFINITION: Ett rtionellt tl är ett tl som kn skrivs som en kvot melln två heltl och där 0. Mängden rtionell tl

Läs mer

Sidor i boken

Sidor i boken Sidor i boken -5 Vi räknr en KS För tt ni sk få en uppfttning om hur en KS kn se ut räknr vi här igenom den end KS som givits i denn kurs! Totlt kn mn få poäng. Om mn lycks skrp ihop 7 poäng eller mer

Läs mer

FORMELLA SPRÅK, AUTOMATER OCH BERÄKNINGSTEORI ÖVNINGSUPPGIFTER PÅ REGULJÄRA SPRÅK

FORMELLA SPRÅK, AUTOMATER OCH BERÄKNINGSTEORI ÖVNINGSUPPGIFTER PÅ REGULJÄRA SPRÅK FORMELLA SPRÅK, AUTOMATER OCH BERÄKNINGSTEORI ÖVNINGSUPPGIFTER PÅ REGULJÄRA SPRÅK Förord Dett kompendium innehåller övningr inom reguljär språk för kursen Formell språk, utomter och eräkningsteori som

Läs mer

IE1204 Digital Design

IE1204 Digital Design IE1204 Digital Design F1 F3 F2 F4 Ö1 Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombinatoriska kretsar F7 F8 Ö4 F9 Ö5 Multiplexor KK2 LAB2 Låskretsar, vippor, FSM

Läs mer

SF1625 Envariabelanalys

SF1625 Envariabelanalys SF1625 Envribelnlys Föreläsning 13 Institutionen för mtemtik KTH 27 september 2017 SF1625 Envribelnlys Anmäl er till tentn Anmäl er till tentn nu. Det görs vi min sidor. Om det inte går, mejl studentexpeditionen

Läs mer

SLING MONTERINGS- OCH BRUKSANVISNING

SLING MONTERINGS- OCH BRUKSANVISNING SLING MONTERINGS- OCH BRUKSANVISNING FOC_SLING_1107 Introduktion Dett är en ruksnvisning för det dynmisk rmstödet SLING som monters på rullstol, stol eller nnn nordning. SLING tillverks v FOCAL Meditech,

Läs mer

Sfärisk trigonometri

Sfärisk trigonometri Sfärisk trigonometri Inledning Vi vill nvänd den sfärisk trigonometrin för beräkningr på storcirkelrutter längs jordytn (för sjöfrt och luftfrt). En storcirkel är en cirkel på sfären vrs medelpunkt smmnfller

Läs mer

Tentamen i ETE115 Ellära och elektronik, 3/6 2017

Tentamen i ETE115 Ellära och elektronik, 3/6 2017 Tentmen i ETE115 Ellär och elektronik, 3/6 17 Tillåtn hjälpmedel: Formelsmling i kretsteori. Oserver tt uppgiftern inte är sorterde i svårighetsordning. All lösningr skll ges tydlig motiveringr. 1 8 V

Läs mer

RÄKNEOPERATIONER MED VEKTORER. LINJÄRA KOMBINATIONER AV VEKTORER. ----------------------------------------------------------------- Låt u vr en vektor med tre koordinter u. Vi säger tt u är tredimensionell

Läs mer

IE1205 Digital Design: F9: Synkrona tillståndsautomater

IE1205 Digital Design: F9: Synkrona tillståndsautomater IE25 Digital Design: F9: Synkrona tillståndsautomater Moore och Mealy automater F8 introducerade vippor och vi konstruerade räknare, skift-register etc. F9-F skall vi titta på hur generella tillståndsmaskiner

Läs mer

GEOMETRISKA VEKTORER Vektorer i rummet.

GEOMETRISKA VEKTORER Vektorer i rummet. GEOMETRISKA VEKTORER Vektorer i rummet. v 6 Någr v de storheter som förekommer inom nturvetenskp kn specificers genom tt ders mätetl nges med ett end reellt tl. Exempel på sådn storheter, som klls sklär

Läs mer

9. Vektorrum (linjära rum)

9. Vektorrum (linjära rum) 9. Vektorrum (linjär rum) 43. Vektorrum (linjärt rum) : definition och xiom 44. Exempel på vektorrum v funktioner. 45. Hur definierr mn subtrktion i ett vektorrum? 46. Underrum 47. Linjärkombintioner,

Läs mer

Programmeringsguide ipfg 1.6

Programmeringsguide ipfg 1.6 Progrmmeringsguide ipfg 1.6 Progrmmeringsklr i-ört pprter (CIC, knl, fullonh) Progrmmeringsklr kom-ört pprter CS-44 Phonk-version Progrmmeringsklr miropprter CS-44 Phonk-version 1 2 1 2 1 2 ipfg 1.6 stndrd

Läs mer

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design onsdagen den 5/ Tentamen i IE1204/5 Digital Design onsdagen den 5/6 2013 9.00-13.00 Tentamensfrågor med lösningsförslag Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista

Läs mer

Föreläsning 7. Splay-träd. Prioritetsköer och heapar. Union/Find TDDC70/91: DALG. Innehåll. Innehåll. 1 Splay-träd

Föreläsning 7. Splay-träd. Prioritetsköer och heapar. Union/Find TDDC70/91: DALG. Innehåll. Innehåll. 1 Splay-träd Föreläsning 7 Sply-träd. rioritetsköer oh hepr. Union/Find TDDC70/1: DALG Utskriftsversion v föreläsning i Dtstrukturer oh lgoritmer 7 septemer 01 Tommy Färnqvist, IDA, Linköpings universitet 7.1 Innehåll

Läs mer

Digitalteknik TSIU05 Kursinformation

Digitalteknik TSIU05 Kursinformation Digitlteknik TSIU05 Kursinformtion Michel Josefsson 27 ugusti 2018 Innehåll 1 Allmänt 5 2 Digitlteknik 6 hp 7 3 Mätteknik 2 hp 11 4 Övrigt 13 3 1 Allmänt Kursen estår v två prllell spår, nämligen digitlteknik

Läs mer

Uppgiftssamling 5B1493, lektionerna 1 6. Lektion 1

Uppgiftssamling 5B1493, lektionerna 1 6. Lektion 1 Uppgiftssmling 5B1493, lektionern 1 6 Lektion 1 4. (Räkning med oändlig decimlbråk) Låt x = 0, 1 2 3 n och y = 0,b 1 b 2 b 3 b n ( i och b i siffror 0, 1,, 9).. Kn Du beskriv något förfrnde som säkert

Läs mer

Rationella uttryck. Förlängning och förkortning

Rationella uttryck. Förlängning och förkortning Sidor i boken 8-9, 0- Rtionell uttryck. Förlängning och förkortning Först någr begrepp. Aritmetik eller räknelär är den mest grundläggnde formen v mtemtik. Ett ritmetiskt uttryck innehåller tl, men ing

Läs mer

ORTONORMERAT KOORDINAT SYSTEM. LÄNGDEN AV EN VEKTOR. AVSTÅND MELLEN TVÅ PUNKTER. MITTPUNKT. TYNGDPUNKT. SFÄR OCH KLOT.

ORTONORMERAT KOORDINAT SYSTEM. LÄNGDEN AV EN VEKTOR. AVSTÅND MELLEN TVÅ PUNKTER. MITTPUNKT. TYNGDPUNKT. SFÄR OCH KLOT. Armin Hlilovi: EXTRA ÖVNINGAR v Vektorer oh koordinter i D-rummet ORTONORMERAT KOORDINAT SYSTEM LÄNGDEN AV EN VEKTOR AVSTÅND MELLEN TVÅ PUNKTER MITTPUNKT TYNGDPUNKT SFÄR OCH KLOT INLEDNING För tt bild

Läs mer

Råd och hjälpmedel vid teledokumentation

Råd och hjälpmedel vid teledokumentation Råd och hjälpmedel vid teledokumenttion Elektrisk Instlltörsorgnistionen EIO Innehåll: Vd skiljer stndrdern åt När sk vilken stndrd nvänds Hur kn gmml och ny stndrd kominers Hur kn dokumenttionen förenkls

Läs mer

Evighetskalender. 19 a) nyårsdagen var år 2000 b) julafton kommer att vara på år 2010 c) de första människorna landade på månen, 20 juli 1969

Evighetskalender. 19 a) nyårsdagen var år 2000 b) julafton kommer att vara på år 2010 c) de första människorna landade på månen, 20 juli 1969 Evighetsklender Vilken veckodg vr det när du föddes? På vilken veckodg fyller du 18 år? Med den här evighetsklendern kn du t red på det. Gör så här när du sk t red på veckodgen: Lägg ihop följnde fyr tl:

Läs mer

Matris invers, invers linjär transformation.

Matris invers, invers linjär transformation. Mtris invers, invers linjär trnsformtion. Påminnelse om mtris beräkningr: ddition, multipliktion med sklärer och mtrisprodukt Algebrisk egenskper hos mtrisddition och multipliktion med ett tl (Ly Sts..,

Läs mer

Materiens Struktur. Lösningar

Materiens Struktur. Lösningar Mteriens Struktur Räkneövning 1 Lösningr 1. I ntriumklorid är vrje N-jon omgiven v sex Cl-joner. Det intertomär vståndet är,8 Å. Ifll tomern br skulle växelverk med Coulombväxelverkn oh br med de närmste

Läs mer

Preliminär version 2 juni 2014, reservation för fel. Tentamen i matematik. Kurs: MA152G Matematisk Analys MA123G Matematisk analys för ingenjörer

Preliminär version 2 juni 2014, reservation för fel. Tentamen i matematik. Kurs: MA152G Matematisk Analys MA123G Matematisk analys för ingenjörer Lösningsförslg Högskoln i Skövde SK, JS) Preliminär version juni 0, reservtion för fel. Tentmen i mtemtik Kurs: MA5G Mtemtisk Anlys MAG Mtemtisk nlys för ingenjörer Tentmensdg: 0-05- kl.0-9.0 Hjälpmedel

Läs mer

Algebraiska uttryck: Introduktionskurs i matematik. Räknelagar: a = b a. a b. Potenser: 1. = ( n gånger )

Algebraiska uttryck: Introduktionskurs i matematik. Räknelagar: a = b a. a b. Potenser: 1. = ( n gånger ) Intrduktinskurs i mtemtik 1 v 5 Algerisk uttrk: Räknelgr: lgen distriutiv lgr ssitiv lgr kmmuttiv, Ptenser: 1 n L n gånger --------------------------------------- n udd tl, jämnt tl n, n n n 4 4.. ---------------------------------------

Läs mer

LABORATIONSINSTRUKTION. Avkodare, adderare och ALU med parallell VHDL

LABORATIONSINSTRUKTION. Avkodare, adderare och ALU med parallell VHDL Högskoln Dlrn Elektroteknik LABORATION LABORATIONSINSTRUKTION Avkodre, dderre och ALU med prllell VHDL KURS Digitlteknik LAB NR Ver 1109 3 INNEHÅLL 1. Kodomvndlre, BCD/7-segment 2. Adderre med grindr 3.

Läs mer

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design onsdagen den 5/ Tentamen i IE1204/5 Digital Design onsdagen den 5/6 2013 9.00-13.00 Allmän information Exaator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista IE1204) Tentamensuppgifterna behöver

Läs mer

Volym och dubbelintegraler över en rektangel

Volym och dubbelintegraler över en rektangel Volym oh dubbelintegrler över en rektngel All funktioner nedn nts vr kontinuerlig. Om f (x i intervllet [, b], så är ren v mängden {(x, y : y f (x, x b} lik med integrlen b f (x dx. Låt = [, b] [, d] =

Läs mer

SF1625 Envariabelanalys

SF1625 Envariabelanalys Modul 5: Integrler Institutionen för mtemtik KTH 30 november 4 december Integrler Integrler är vd vi sk håll på med denn veck och näst. Vi kommer tt gör följnde: En definition v vd begreppet betyder En

Läs mer

Föreläsning 7: Trigonometri

Föreläsning 7: Trigonometri ht06 Föreläsning 7: Trigonometri Trigonometrisk identiteter En identitet är en likhet som håller för ll värden på någon vriel. Tex så gäller tt ( + ) + + för ll,. Dett skrivs ilnd som ( + ) + +, men vi

Läs mer

INNEHALL. 7 7.1 7.2 7.2.1 7.2.2 7.2.3 7.2.4 7.2.5 7.2.6 7.2.7 7.2.8 t.3

INNEHALL. 7 7.1 7.2 7.2.1 7.2.2 7.2.3 7.2.4 7.2.5 7.2.6 7.2.7 7.2.8 t.3 INNEHALL 7 7.1 7.2 7.2.1 7.2.2 7.2.3 7.2.4 7.2.5 7.2.6 7.2.7 7.2.8 t.3 DATORER Allmänt Digitl dtorer Orgnistion Ordmm Minnesenheten Aritmetisk enheten Styrenheten In/utenheten Avbrott Spräk och proglmm

Läs mer

Tentamen 1 i Matematik 1, HF dec 2016, kl. 8:00-12:00

Tentamen 1 i Matematik 1, HF dec 2016, kl. 8:00-12:00 Tentmen i Mtemtik, HF9 9 dec 6, kl. 8:-: Emintor: Armin Hlilovic Undervisnde lärre: Erik Melnder, Jons Stenholm, Elis Sid För godkänt betyg krävs v m poäng. Betygsgränser: För betyg A, B, C, D, E krävs,

Läs mer

Definition. En cirkel är mängden av de punkter i planet vars avstånd till en given punkt är

Definition. En cirkel är mängden av de punkter i planet vars avstånd till en given punkt är Armin Hlilovi: EXTRA ÖVNINGAR Andrgrdskurvor NÅGRA VIKTIGA ANDRAGRADSKURVOR: CIRKEL, ELLIPS, HYPERBEL OCH PARABEL CIRKEL Definition. En irkel är mängden v de punkter i plnet vrs vstånd till en given punkt

Läs mer

Ett förspel till Z -transformen Fibonaccitalen

Ett förspel till Z -transformen Fibonaccitalen Ett förspel till Z -trnsformen Fibonccitlen Leonrdo Pisno vnligen klld Leonrdo Fiboncci, den knske störste mtemtiker som Europ frmburit före renässnsen skrev år 10 en bok (Liber bci) i räknelär. J, fktiskt.

Läs mer

Geometri. 4. Fyra kopior av en rätvinklig triangel kan alltid sättas ihop till en kvadrat med hål som i följande figur varför?

Geometri. 4. Fyra kopior av en rätvinklig triangel kan alltid sättas ihop till en kvadrat med hål som i följande figur varför? Geometri 1. Linjen är isektris till vinkeln. Sträkorn, oh är lik lång. Hur stor är vinkeln? vgör utn mätningr! 4. Fyr kopior v en rätvinklig tringel kn lltid sätts ihop till en kvdrt med hål som i följnde

Läs mer

Avkodning av minnen (och I/O)

Avkodning av minnen (och I/O) Avkodning av minnen (och I/O) IE1204 Digital Design F1 F3 F2 F4 Ö1 Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombinatoriska kretsar F7 F8 Ö4 F9 Ö5 Multiplexor KK2

Läs mer

Matematik för sjöingenjörsprogrammet

Matematik för sjöingenjörsprogrammet Mtemtik för sjöingenjörsprogrmmet Mtemtisk Vetenskper 29 ugusti 202 Innehåll Aritmetik och lger. Räkning med nturlig tl och heltl.................... Nturlig tl.......................... 2..2 Negtiv tl...........................

Läs mer

6 Formella språk. Matematik för språkteknologer (5LN445) UPPSALA UNIVERSITET

6 Formella språk. Matematik för språkteknologer (5LN445) UPPSALA UNIVERSITET UPPSALA UNIVERSITET Mtemtik för språkteknologer (5LN445) Institutionen för lingvistik och filologi VT 2014 Förfttre: Mrco Kuhlmnn 2013 (mindre revision Mts Dhllöf 2014) 6 Formell språk Det mänsklig språket

Läs mer

XIV. Elektriska strömmar

XIV. Elektriska strömmar Elektromgnetismens grunder Strömmens riktning Mn definierr tt strömmen går från plus (+) till minus (-). För tt få till stånd en ström måste mn. Spänningskäll 2. Elektriskt lednde ledningr 3. Sluten krets

Läs mer

Tillämpning - Ray Tracing och Bézier Ytor. TANA09 Föreläsning 3. Icke-Linjära Ekvationer. Ekvationslösning. Tillämpning.

Tillämpning - Ray Tracing och Bézier Ytor. TANA09 Föreläsning 3. Icke-Linjära Ekvationer. Ekvationslösning. Tillämpning. TANA09 Föreläsning 3 Tillämpning - Ry Trcing och Bézier Ytor z = B(x, y) q o Ekvtionslösning Tillämpning Existens Itertion Konvergens Intervllhlveringsmetoden Fixpuntsitertion Newton-Rphsons metod Anlys

Läs mer

LINJÄR ALGEBRA II LEKTION 1

LINJÄR ALGEBRA II LEKTION 1 LINJÄR ALGEBRA II LEKTION JOHAN ASPLUND INNEHÅLL. VEKTORRUM OCH DELRUM Hel kursen Linjär Algebr II hndlr om vektorrum och hur vektorrum (eller linjär rum, som de iblnd klls) beter sig. Tidigre hr mn ntgligen

Läs mer

============================================================ V1. Intervallet [a,b] är ändligt, dvs gränserna a, b är reella tal och INTE ±.

============================================================ V1. Intervallet [a,b] är ändligt, dvs gränserna a, b är reella tal och INTE ±. GENERALISERADE INTEGRALER När vi definierr Riemnnintegrl ntr vi tt följnde två krv är uppfylld: V Intervllet [,] är ändligt, dvs gränsern, är reell tl och INTE ± V Funktionen f () är egränsd i intervllet

Läs mer

Kan det vara möjligt att med endast

Kan det vara möjligt att med endast ORIO TORIOTO yllene snittet med origmi ed endst någr få vikningr kn mn få frm gyllene snittet och också konstruer en regelbunden femhörning. I ämnren nr 2, 2002 beskrev förfttren hur mn kn rbet med hjälp

Läs mer

Internetförsäljning av graviditetstester

Internetförsäljning av graviditetstester Internetförsäljning v grviditetstester Mrkndskontrollrpport från Enheten för medicinteknik 2010-05-28 Postdress/Postl ddress: P.O. Box 26, SE-751 03 Uppsl, SWEDEN Besöksdress/Visiting ddress: Dg Hmmrskjölds

Läs mer

Tentamen i Digital Design

Tentamen i Digital Design Kungliga Tekniska Högskolan Tentamen i Digital Design Kursnummer : Kursansvarig: 2B56 :e fo ingenjör Lars Hellberg tel 79 7795 Datum: 27-5-25 Tid: Kl 4. - 9. Tentamen rättad 27-6-5 Klagotiden utgår: 27-6-29

Läs mer

GOLV. Norgips Golvskivor används som underlag för golv av trä, vinyl, mattor och andra beläggningar. Här de tre viktigaste konstruktionerna

GOLV. Norgips Golvskivor används som underlag för golv av trä, vinyl, mattor och andra beläggningar. Här de tre viktigaste konstruktionerna GOLV Norgips Golvskivor nvänds som underlg för golv v trä, vinyl, mttor och ndr beläggningr. Här de tre viktigste konstruktionern 1. Ett lg golvskivor på träunderlg 2. Flytnde golv med två lg golvskiv

Läs mer

Maurice Karnaugh. Karnaugh-diagrammet gör det enkelt att minimera Boolska uttryck! William Sandqvist

Maurice Karnaugh. Karnaugh-diagrammet gör det enkelt att minimera Boolska uttryck! William Sandqvist Maurice Karnaugh Karnaugh-diagrammet gör det enkelt att minimera Boolska uttryck! En funktion av fyra variabler a b c d Sanningstabellen till höger innehåller 11 st 1:or och 5 st 0:or. Funktionen kan uttryckas

Läs mer

Digital Design IE1204

Digital Design IE1204 Digital Design IE1204 F9 Tillståndsautomater del1 william@kth.se IE1204 Digital Design F1 F3 F2 F4 Ö1 Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombinatoriska kretsar

Läs mer

AUBER 95 9 jan LÖSNINGAR STEG 1:

AUBER 95 9 jan LÖSNINGAR STEG 1: AUBER 95 9 jn AR. Den finit utomten nedn ccepterr ett språk L över = {, }. A B ε Konstruer ) ett reguljärt uttryck för L. ) L = ( ( ) ) = ( ) ) en reguljär grmmtik för L S A S A c) en miniml DFA för L.

Läs mer

Digital Design IE1204

Digital Design IE1204 Digital Design IE1204 F10 Tillståndsautomater del II william@kth.se IE1204 Digital Design F1 F3 F2 F4 Ö1 Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombinatoriska

Läs mer

1 Bestäm Théveninekvivalenten med avseende på nodparet a-b i nedanstående krets.

1 Bestäm Théveninekvivalenten med avseende på nodparet a-b i nedanstående krets. (7) 9 jnuri 009 Institutionen för elektro och informtionsteknik Dniel Sjöerg ETE5 Ellär och elektronik, tentmen jnuri 009 Tillåtn hjälpmedel: formelsmling i kretsteori. Oserver tt uppgiftern inte är sorterde

Läs mer

Definition 1 En funktion (eller avbildning ) från en mängd A till en mängd B är en regel som till några element i A ordnar högst ett element i B.

Definition 1 En funktion (eller avbildning ) från en mängd A till en mängd B är en regel som till några element i A ordnar högst ett element i B. Deinitionsmängd FUNKTIONER. DEFINITIONSMÄNGD OCH VÄRDEMÄNGD. Deinition En unktion (eller vbildning ) rån en mängd A till en mängd B är en regel som till någr element i A ordnr högst ett element i B. Att

Läs mer

Digital Design IE1204

Digital Design IE1204 Digital Design IE24 F4 Karnaugh-diagrammet, två- och fler-nivå minimering william@kth.se IE24 Digital Design F F3 F2 F4 Ö Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK LAB

Läs mer

24/09/2013. Talrepresentationer" Digital Aritmetik Unsigned Integers Signed Integers" Positiva Heltal" Addition" Heltal" Addition"

24/09/2013. Talrepresentationer Digital Aritmetik Unsigned Integers Signed Integers Positiva Heltal Addition Heltal Addition 24/9/23 Slide! Per Lindgren! EISLAB! Per.Lindgren@ltu.e! Digitl Aritmetik Unigned Integer Signed Integer" Originl Slide! Ingo Snder! KTH/ICT/ES! ingo@kth.e! Tlrepreenttioner" Ett tl kn repreenter inärt

Läs mer

Avkodning av minnen (och I/O)

Avkodning av minnen (och I/O) Avkodning av minnen (och I/O) IE1205 Digital Design Föreläsningar och övningar bygger på varandra! Ta alltid igen det Du missat! Läs på i förväg delta i undervisningen arbeta igenom materialet efteråt!

Läs mer

Mat-1.1510 Grundkurs i matematik 1, del III

Mat-1.1510 Grundkurs i matematik 1, del III Mt-.50 Grundkurs i mtemtik, del III G. Gripenberg TKK december 00 G. Gripenberg TKK) Mt-.50 Grundkurs i mtemtik, del III december 00 / 59 Vribelbyte F gx))g x) dx = d F gx)) dx dx = / b F gx)) = F gb))

Läs mer

Räkneövning 1 atomstruktur

Räkneövning 1 atomstruktur Räkneövning 1 tomstruktur 1. Atomerns lägen i grfen (ett mteril som består v endst ett end tomlger v koltomer och vrs upptäckt gv Nobelpriset i fysik, 010) ligger i de gitterpunkter som viss i figuren

Läs mer

Där a mol av ämnet A reagerar med b mol av B och bildar c mol av C och d mol av D.

Där a mol av ämnet A reagerar med b mol av B och bildar c mol av C och d mol av D. 1 Kemisk jämvikt oh termoynmik Vi en kemisk rektion omvnls en eller fler molekyler från en form till en nnn. Mång olik typer v kemisk rektioner hr ren reovists uner kursen. För tt eskriv v som häner vi

Läs mer

TATA42: Föreläsning 4 Generaliserade integraler

TATA42: Föreläsning 4 Generaliserade integraler TATA42: Föreläsning 4 Generliserde integrler John Thim 29 mrs 27 Vi hr stött på begreppet tidigre när vi diskutert Riemnnintegrler i föregående kurs. Denn gång kommer vi lite mer tt fokuser på frågn om

Läs mer

IE1205 Digital Design: F4 : Karnaugh-diagrammet, två- och fler-nivå minimering

IE1205 Digital Design: F4 : Karnaugh-diagrammet, två- och fler-nivå minimering IE25 Digital Design: F4 : Karnaugh-diagrammet, två- och fler-nivå minimering Mintermer 2 3 OR f En minterm är en produktterm som innehåller alla variabler och som anger den kombination av :or och :or som

Läs mer

Diskreta stokastiska variabler

Diskreta stokastiska variabler Definitioner: Diskret stokstisk vribler Utfllet i ett slumpmässigt försök i form v ett reellt tl, betrktt innn försöket utförts, klls för stokstisk vribel eller slumpvribel (oft betecknd ξ, η ) Ett resultt

Läs mer

Tyngdkraftfältet runt en (stor) massa i origo är. F(x, y, z) =C (x 2 + y 2 + z 2 ) 3 2

Tyngdkraftfältet runt en (stor) massa i origo är. F(x, y, z) =C (x 2 + y 2 + z 2 ) 3 2 Nr 7, pril -, Ameli 7 Linjeintegrler 7. Idéer och smmnhng I en enkelintegrl summers värden v en funktion v en vriel f() längs ett visst intervll. I en duelintegrl summers värden v en funktion v två vriler

Läs mer

TENTAMEN. Matematik för basår I. Massimiliano Colarieti-Tosti, Niclas Hjelm & Philip Köck :00-12:00

TENTAMEN. Matematik för basår I. Massimiliano Colarieti-Tosti, Niclas Hjelm & Philip Köck :00-12:00 Kursnummer: Moment: Progrm: Rättnde lärre: TENTAMEN HF00 Mtemtik för bsår I TENA / TEN Tekniskt bsår Mssimilino Colrieti-Tosti, Nicls Hjelm & Philip Köck Nicls Hjelm 0-0-6 08:00-:00 Emintor: Dtum: Tid:

Läs mer

Skriv tydligt! Uppgift 1 (5p)

Skriv tydligt! Uppgift 1 (5p) 1(1) IF1611 Ingenjörsmetodik för IT och ME, HT 1 Tentmen Gäller även studenter som är registrerde på B1116 Torsdgen den 1 okt, 1, kl. 14.-19. Skriv tydligt! Skriv nmn och personnummer på ll inlämnde ppper!

Läs mer

Induktion LCB 2000/2001

Induktion LCB 2000/2001 Indution LCB 2/2 Ersätter Grimldi 4. Reursion och indution; enl fll n 2 En tlföljd n nturligtvis definiers genom tt mn nger en explicit formel för uträning v n dess 2 element, som till exempel n 2 () n

Läs mer

Finita automater, reguljära uttryck och prefixträd. Upplägg. Finita automater. Finita automater. Olika finita automater.

Finita automater, reguljära uttryck och prefixträd. Upplägg. Finita automater. Finita automater. Olika finita automater. Finit utomter, reguljär uttryck och prefixträd Algoritmer och Dtstrukturer Mrkus Sers mrkus.sers@lingfil.uu.se Upplägg Finit utomter Implementtion Reguljär uttryck Användningr i Jv Alterntiv till inär

Läs mer

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1 Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1 Från Wikipedia: Sekvensnät Ett sekvensnäts utgångsvärde beror inte bara på indata, utan även i vilken ordning datan kommer (dess sekvens).

Läs mer

Tillståndsmaskiner. Moore-automat. Mealy-automat. William Sandqvist

Tillståndsmaskiner. Moore-automat. Mealy-automat. William Sandqvist Tllstånsmsknr Moor-utomt Mly-utomt Wllm Snvst wllm@kth.s ÖH. Bstäm tllstånsrm oh tllstånstll ör skvnskrtsn. Vlkn v mollrn Mly llr Moor pssr n på krtsn? Wllm Snvst wllm@kth.s . Ur krtsshmt kn öljn smn ställs

Läs mer

Så här gör du? Innehåll

Så här gör du? Innehåll hp dvd writer Så här gör du? Innehåll hur vet jg vilket progrm jg sk nvänd? 1 svensk hur kopierr jg en skiv? 2 hur överför jg min nd till en skiv? 4 hur skpr jg en dvd-film? 9 hur redigerr jg en video-dvd-skiv?

Läs mer

TATA42: Föreläsning 4 Generaliserade integraler

TATA42: Föreläsning 4 Generaliserade integraler TATA42: Föreläsning 4 Generliserde integrler John Thim 5 november 28 Vi hr stött på begreppet tidigre när vi diskutert Riemnnintegrler i föregående kurs. Denn gång kommer vi lite mer tt fokuser på frågn

Läs mer

Tentamen ETE115 Ellära och elektronik för F och N,

Tentamen ETE115 Ellära och elektronik för F och N, Tentmen ETE5 Ellär och elektronik för F och N, 009 087 Tillåtn hjälpmedel: formelsmling i kretsteori och elektronik. Oserver tt uppgiftern inte är ordnde i svårighetsordning. All lösningr skll ges tydlig

Läs mer