LABORATIONSINSTRUKTION. Avkodare, adderare och ALU med parallell VHDL

Storlek: px
Starta visningen från sidan:

Download "LABORATIONSINSTRUKTION. Avkodare, adderare och ALU med parallell VHDL"

Transkript

1 Högskoln Dlrn Elektroteknik LABORATION LABORATIONSINSTRUKTION Avkodre, dderre och ALU med prllell VHDL KURS Digitlteknik LAB NR Ver INNEHÅLL 1. Kodomvndlre, BCD/7-segment 2. Adderre med grindr 3. Adderre med +-opertorn 4. ALU NAMN KOMMENTARER PROGRAM/KURS UTFÖRD GODKÄND SIGN

2 Lortion nr 3 Digitlteknik Innehåll: Syfte: Drivning v sjusegmentindiktor, olik typer v dderre, ALU. Att få kunskp och prktisk erfrenhet v: grundläggnde funktion och drivning v en sjusegmentindiktor olik typer v dderre uppyggnd v en ritmetisk logisk enhet (ALU) simulering v digitl konstruktioner relisering (progrmmering) v VHDL-konstruktioner i en CPLD dokumenttion 1. Kodomvndlre, BCD / 7-segment För tt enkelt kunn vis de deciml siffrorn 0-9 finns det färdig moduler med 7 st vlång lysdioder rrngerde som en 8. Dess moduler, enämnd sjusegmentindiktorer, innehåller för det mest endst de 7 (+1 för ev. decimlpunkt) lysdiodern med sin resp. nslutningr. För tt kunn vis siffrorn 0-9 på indiktorn krävs således tt mn ktiverr de 7 lysdiodern på rätt sätt. För tt slipp det mödosmm joet med tt själv direkt styr de 7 lysdiodern finns det kodomvndlre som omvndlr från t.ex. BCD-kod till 7- segmentsdrivning. I utvecklingskortet CoolRunner II finns 4 sjusegmentindiktorer som är kopplde enligt figuren nedn V Segmenten i indiktorern 4*2.2kΩ eteckns med,,,g, dp. Segmenten kn också eteckns 0,1,..., 7 som motsvrr elementen i en vektor 0 med 8 itr. f 5 e 4 g 6 d 3 1 c 2 dp 7 De övrig siffrorn i figuren nger stiftnumret på CPLD:en i utvecklingskortet *100Ω c d e f g dp Indiktorern är v typen gemensm nod. Exempel: Om stift 56= 0 och ll övrig är hög så kommer ll -segmenten tt lys! Om 129,128,126 = 0 så lyser r det vänstr - segmentet. Koden på ingångrn dp till tänder siffrn 1 på indiktorn. L pls

3 Vrje LED-segment estår i princip v en vnlig lysdiod. Lysdiodern motsvrr vnlig dioder, men spänningsfllet vid lednde tillstånd är etydligt större än för vnlig dioder. Normlt är spänningsfllet c 1,5 V för lysdioder och c 0,7 V för vnlig kiseldioder i dioderns frmriktning. För tt egräns strömmen i resp. LED-segment måste ett seriemotstånd läggs in melln vkodrens utgång och motsvrnde segment Beräkn strömmen genom lysdioden i figuren V Beräkningr: LED 100Ω +0.2 V Vid lortionen nvänds CoolRunner II och CoolRunner-II Utility Window som är ett övervknings/progrmmeringsprogrm som mäter kpselns tempertur och strömförrukning. Bestäm kpsels tempertur och strömförrukning: Ström vi Vccint =.. Ström vi Vccio1 =. Tempertur =... Antg tt ett 9 V tteri med kpciteten 1200 mah sk driv en CPLD med den totl strömförrukningen 50 µa. Hur mång timmr kn tteriet driv CPLD:en : Vid lortionen sk de fyr digitl switchrn SW1,SW0, BTN1, BTN0 och en v sifferindiktorern på utvecklingskortet till CoolRunnerII nvänds. CPLD:n måste därför progrmmers med ett VHDL progrm som gör omvndlingen från BCD till 7-segment-kod. Oserver tt BTN1 och BTN0 är normlt hög och det är prktiskt tt inverter signlern för tt gör nvändningen enklre. Tips: my_hex<= HEX(3) HEX(2) not HEX(1) not HEX(0); -- inverter de två sist elementen i vektorn HEX För tt gör det ännu enklre finns ett förslg till VHDL progrm i Wepck, se nedn. Gör på följnde sätt för tt kopier koden: Strt ett nytt projekt i Wepck Lägg till en ny VHDL-modul Kopier källkoden från: Edit/Lnguge Templtes/VHDL/Synthesis Construct//Coding exmples/misc/ 7-segment Disply Hex Conversion och stäng sedn fönstret Klistr in den kopierde koden i den egn VHDL-modulen. Rediger koden så tt den går tt syntetiser. L pls

4 Kompletter därefter projektet med nslutningrn till CPLD:en och prov funktionen. Modifier koden så tt insignlern 14 (1110) visr ett P och 15 (1111) visr ett L på displyen. Redovis uppgiften med den modifierde källkoden, tidsfördröjning (från Timing Report) ntlet nvänd mkroceller, oolesk ekvtioner och stiftnslutningr (från Fitter Report) i ett Word-dokument. Använd typsnittet Courier till kopierd text och Times för egn kommentrer. L pls

5 2. Adderre Addition v inär tl är en mycket vnlig opertion som förekommer lnd nnt i ll miniräknre, dtorer, mikroprocessorer och signlprocessorer. Adderren är huvuddelen i den ritmetisk enheten (Arithmetic Unit, AU) där den nvänds för tt utför de ritmetisk opertionern ddition sutrktion och även multipliktion och division. Den ritmetisk opertionen ddition förefller enkel men om den sk utförs med mximl hstighet är den mycket svår tt reliser med logisk kopplingr. Den snste metoden inneär tt dderren konstruers med 2-nivåers logik, och för dderren inneär det tt komplexiteten ökr med en viss fktor för vrje it som sk dders. Om ökningsfktorn är 2 för vrje it lir en 128 itrs dderre gånger mer komplex än en 1-itrs dderre. Även om den relisers med modernste teknologi kommer dderren tt li en fysiskt stor krets. /Om 20 trnsistorer, med dimensionen 100*100 nm, nvänds för tt reliser 1-itrs dderre kommer 128-itrs dderren i så fll tt kräv en yt på 6.8* m 2 vilket är 100 miljrder gånger större än jordens yt!/ Det finns olik metoder för tt konstruer dderre och en metod är tt efterlikn den mnuell metoden där mn dderr de minst signifiknt itrn först och sedn fortsätter med näst itr och tr med minnessiffrn från föregående position. Efter ett ntl dditioner tr tlet slut och resulttet finns förhoppningsvis klrt. Med logisk grindr motsvrr vrje ddition en heldderre och det går tt gör dderren för godtyckligt mång itr genom tt seriekoppl ett ntl heldderre. Figuren nedn visr en koppling för en 4-itrs dderre enligt den eskrivn metoden. Nckdelen med metoden är tt tidsfördröjningen (propgtion dely time) ökr med ntlet itr i dderren. c c 0 0 c 0 0 Heldderre >1 _ c c 1 1 c 1 1 Heldderre >1 _ c c 2 2 c 2 2 Heldderre >1 _ c c 3 3 c 3 3 Heldderre >1 _ c4 0 0 c0 1 1 c1 2 2 c2 3 3 c3 s0 s1 s2 s3 Figur: 4-itrs dderre Figuren visr ddition v två inär tl = < > och = < > som ger summn s = <s3 s2 s1 s0> och en minnessiffr c4. Minnessiffrn c0 nvänds egentligen inte vid dditionen och kn vr 0 konstnt. Eftersom konstruktionen är gjord med identisk lock kn mn åternvänd koden och det finns mycket r stöd för det i VHDL, men i det här fllet sk konstruktionen görs i en vnlig VHDL-modul. Oserver tt c1 till c3 är intern signler. Skriv prllell VHDL-kod för en 4-itrs dderre enligt figuren. Använd vektorer för signlern så långt det är möjligt. Simuler och verifier tt dditionen utförs på rätt sätt. Redovis uppgiften med källkod, tidsfördröjning (från Timing Report) ntlet nvänd mkroceller (från Fitter Report) i ett Word-dokument. Använd typsnittet Courier till kopierd text och Times för egn kommentrer. L pls

6 3. Adderre med +-opertorn Med +-opertorn kn ddition eskrivs mycket enkelt i VHDL. Hur opertionen lir reliserd estäms v syntesverktyget och eskrivningen v dderren är språkmässigt på en högre nivå än i föregående uppgift. Även sutrktion och multipliktion kn eskrivs på liknnde sätt. När konstruktionen överförs till hårdvr kn syntesverktyget utnyttj färdig och effektiv rutiner som är vpssde för den logik som sk nvänds. Additionen enligt föregående uppgift kn eskrivs med nednstående kod: lirry IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity n_dd is generic (n:positive:=3); port (,: in std_logic_vector (n downto 0); s: out std_logic_vector (n downto 0); cut: out std_logic); end n_dd; rchitecture Behviorl of n_dd is signl s_: std_logic_vector (n+1 downto 0); egin s_<= '0' + ; cut<=s_(n+1); s<= s_(n downto 0); end Behviorl; I exemplet nvänds prmetern n för tt enkelt kunn ändr ntlet itr som dderren retr med. När n = 3 erhålls en 4-itrs dderre. I tilldelningsstsen s_<= '0' + ; görs vektorn en it längre genom konktenering. Anledningen är tt vektorern ör h smm ntl itr på åd sidor om tilldelningtecknet. Simuler och verifier koden enligt exemplet ovn. Redovis med källkod, tidsfördröjning (från Timing Report) ntlet nvänd mkroceller och produkttermer (från Fitter Report) i ett Word-dokument. Ändr värdet på prmetern n och gör en tell som visr ntl produkttermer och tidsfördröjning som funktion v n och implementering med Optimize Blnce respektive Optimize Density från Process Properities/Fitting /Det finns ytterligre en prmeter, Optimize/Speed, som inte verkr ge någon förättring för jämfört med Optimize/Blnce./ Optimize Blnce Optimize Speed Optimize Density n tpd (ns) pt tpd (ns) pt tpd (ns) pt en slut en slut en slut I tellen nvänds CPLD XC2C256-7 TQ144, dvs smm CPLD som finns på utvecklingskortet CoolRunner-II. Kpseln hr totlt 144 nslutningr, vrv 118 kn nvänds som I/O. Vrför tr enen slut för n=39?... L pls

7 4. Aritmetisk logisk enhet, ALU. OBS Progrmmeringen och simulering v uppgift 4 är frivillig. Däremot måste de eräknde värden i tellern fylls i. x3 x2 x1 x0 y3 y2 y1 y0 oflow cut Z prit Aritmetisk Logisk Enhet ALU dd_1 su m1 m0 ritm u3 u2 u1 u0 Figur 5 Figuren ovn visr en Aritmetisk Logisk Enhet (ALU) med 4 itrs ordlängd. Insignlern till ALU:n är X = <x3,x2,x1,x0> och Y = <y3,y2,y1,y0) och 5 styrsignler. Insignlern X och Y är på 2-komplementform och kn representer tlen +7 till -8 vid ritmetisk opertioner. Vid logisk opertioner representerr X och Y enrt inär itmönster. Utsignlern från ALU:n är U = <u3,u2,u1,u0> som ger resulttet v den rimetisk eller logisk opertionen som är utförd i ALU:n. Dessutom finns fyr utsignler, flggor, som visr: oflow = 1 om tlområdet är överskridet vid en ritmetisk opertion cut = 1 om minnessiffr (crry) erhålles vid en ritmetisk opertion Z = 1 om U är 0000 prit = 1 om U innehåller ett udd ntl ettor Funktionen hos ALU:n eskrivs v nednstående tell: ritm su dd_1 m1 m0 Opertionsmod Mnemonics 0 x x 0 0 u = x, invers comx 0 x x 0 1 u = x nd y, itvis nd ndxy 0 x x 1 0 u = x xor y, itvis xor xorxy 0 x x 1 1 u = x or y, itvis or orxy x x u = x+y, ddition ddxy x x u = x-y, sutrktion suxy x x u = x+1, ökning med 1 incx x x u = x-1, minskning med 1 decx På sist sidn i lortionen finns en källkod visr hur en ALU kn konstruers med prllell VHDL enligt eskrivningen ovn. Kopier källkoden och syntetiser den. Koden innehåller ing formell fel men det finns tyvärr tre logisk fel i den. Simuler konstruktionen, rätt felen, och försök tt få ALU:n tt funger riktigt! Dokumenter resulttet med: Två utskrifter från simuleringen som visr tt den ritmetisk respektive logisk delen fungerr/fungerr inte. Källkod (om ALU:n fungerr), tidsfördröjning (från Timing Report), ntlet nvänd mkroceller etc. (från Fitter report). Smmnställningen sk sprs i ett WORD-dokument, med högst tre sidor. Progrmmer ALU:n i en Xilinx XC9572 och kontroller funktionen (frivillig uppgift). L pls

8 Tips för simulering v ALU:n Börj med tt test den logisk delen. För tt undersök de fyr logisk opertionern invers, nd, or och xor kn insignlern vr X = 0011 och Y = 1010 konstnt och m1,m0 vrier enligt tellen. För tt utför de logisk opertionern krävs tt de övrig styrsignlern hr värden: ritm = 0 (ger logisk opertioner) u = dd_1 = x (don t cre) eräknt simulert m1 m0 Opertion X Y U Z P U Z P 0 0 u = x, invers u = x nd y u = x xor y u = x or y Kompletter tellen med de förväntde värden på U Z och P (prit) och jämför med simuleringen. Den ritmetisk delen kn tests enligt nednstående teller. Addition: eräknt simulert x y opertion resultt OF,Z,C,P resultt OF,Z,C,P x+y x+y x+y x+y x+y x+y incx incx Kompletter tellen med de förväntde värden och de simulerde Sutrktion: eräknt simulert x y opertion resultt OF,Z,C,P resultt OF,Z,C,P x-y x-y x-y x-y x-y x-y decx decx Kompletter tellen med de förväntde värden och de simulerde L pls

9 Tips för tt rätt fel i koden Adderren i koden är (sk vr) konstruerd enligt nednstående lockschem. Hel ALU:n finns eskriven i lärooken (Digitl Kretsr, tredje upplgn, Lrs-Hugo Hemert, Studentlittertur) på sidorn 185 till 193. x3 x2 x1 x0 y3 y2 y1 y0 oflow overflow y3 y2 y1 y0 su cut Adderre 4 itr s3 s2 s1 s0 lirry IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to use the declrtions tht re -- provided for instntiting Xilinx primitive components. --lirry UNISIM; --use UNISIM.VComponents.ll; entity lu_ver_wrong is Port ( x, y: in std_logic_vector (3 downto 0); u: out std_logic_vector (3 downto 0); dd_1,su, m1,m0,ritm: in std_logic; oflow,cut,z,prit: out std_logic); end lu_ver_wrong; rchitecture Behviorl of lu_ver_wrong is signl y,y,lu,u: std_logic_vector (3 downto 0); signl s: std_logic_vector (4 downto 0); egin with dd_1 select y<= "0001" when '1', y when others; y<= not y when su='1' else y; s<= ('0'x) + y; cut<=s(4); oflow<= (not su nd not s(3) nd x(3) nd y(3))or (not su nd s(3) nd not x(3) nd not y(3))or (su nd not s(3) nd x(3) nd not y(3))or (su nd s(3) nd not x(3) nd y(3)); with ritm select u<= s(3 downto 0) when '1', lu when others; lu<= not x when m1='0' nd m0='0' else x nd y when m1='0' nd m0='1' else x xor y when m1='1' nd m0='0' else x or y; z<= ((u(3) nor u(2)) nor u(1)) nor u(0); u<=u; prit<= (u(3)xor u(2))xor (u(1)xor u(0)); end Behviorl; L pls

LABORATIONSINSTRUKTION LABORATION

LABORATIONSINSTRUKTION LABORATION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Sekvensnät med VHDL KURS Digitalteknik LAB NR Ver9 5 INNEHÅLL. Kaskadkopplad räknare 2. Elektronisk tärning NAMN KOMMENTARER PROGRAM/KURS

Läs mer

24/09/2013. Talrepresentationer" Digital Aritmetik Unsigned Integers Signed Integers" Positiva Heltal" Addition" Heltal" Addition"

24/09/2013. Talrepresentationer Digital Aritmetik Unsigned Integers Signed Integers Positiva Heltal Addition Heltal Addition 24/9/23 Slide! Per Lindgren! EISLAB! Per.Lindgren@ltu.e! Digitl Aritmetik Unigned Integer Signed Integer" Originl Slide! Ingo Snder! KTH/ICT/ES! ingo@kth.e! Tlrepreenttioner" Ett tl kn repreenter inärt

Läs mer

PASS 1. RÄKNEOPERATIONER MED DECIMALTAL OCH BRÅKTAL

PASS 1. RÄKNEOPERATIONER MED DECIMALTAL OCH BRÅKTAL PASS. RÄKNEOPERATIONER MED DECIMALTAL OCH BRÅKTAL. Tl, bråktl och decimltl Vd är ett tl för någonting? I de finländsk fmiljern brukr det vnligtvis finns två brn enligt Sttistikcentrlen (http://www.tilstokeskus.fi/tup/suoluk/suoluk_vesto_sv.html).

Läs mer

IE1204 Digital Design

IE1204 Digital Design IE1204 Digitl Design F1 F3 F2 F4 Ö1 Booles lgebr, Grindr MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombintorisk kretsr F7 F8 Ö4 F9 Ö5 Multipleor KK2 LAB2 Låskretsr, vippor, FSM F10 F11 Ö6

Läs mer

Tentamen i EDA320 Digitalteknik-syntes för D2

Tentamen i EDA320 Digitalteknik-syntes för D2 CHALMERS TEKNISKA HÖGSKOLA Institutionen för dtorteknik Tentmen i EDA320 Digitlteknik-syntes för D2 Tentmenstid: tisdgen den 24 ugusti 999, kl. 08.45-2.45, Sl: mg. Exmintor: Peter Dhlgren Tel. expedition

Läs mer

Uppsala Universitet Matematiska Institutionen Thomas Erlandsson

Uppsala Universitet Matematiska Institutionen Thomas Erlandsson Uppsl Universitet Mtemtisk Institutionen Thoms Erlndsson RÄTA LINJER, PLAN, SKALÄRPRODUKT, ORTOGONALITET MM VERSION MER OM EKVATIONSSYSTEM Linjär ekvtionssystem och den geometri mn kn härled ur dess är

Läs mer

14. MINSTAKVADRATMETODEN

14. MINSTAKVADRATMETODEN 4 MINTAKADRATMETODEN Nu sk vi gå igenom någr olik sätt tt lös ekvtionssystemet Ax Om A är m n mtris med m n så sägs systemet vr överestämt och det sknr då i llmänhet lösningr Istället söker mn en pproximtiv

Läs mer

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktionen beskriver grunderna för att använda programvaran Xilinx ISE WebPack 6.2.03 tillsammans med en CPLD (Complex Programmable Logic

Läs mer

Uppsala Universitet Matematiska Institutionen Bo Styf. Sammanfattning av föreläsningarna 5-7.

Uppsala Universitet Matematiska Institutionen Bo Styf. Sammanfattning av föreläsningarna 5-7. Uppsl Universitet Mtemtisk Institutionen Bo Styf LAoG I, 5 hp ES, KndM, MtemA -9-6 Smmnfttning v föreläsningrn 5-7. Föreläsningrn 5 7, 7/9 6/9 : Det kommer, liksom i lärooken, inte tt finns utrymme för

Läs mer

GEOMETRISKA VEKTORER Vektorer i rummet.

GEOMETRISKA VEKTORER Vektorer i rummet. GEOMETRISKA VEKTORER Vektorer i rummet. v Någr v de storheter som förekommer inom nturvetenskp kn specificers genom tt ders mätetl nges med ett end reellt tl. Exempel på sådn storheter, som klls sklär

Läs mer

Rationella uttryck. Förlängning och förkortning

Rationella uttryck. Förlängning och förkortning Sidor i boken 8-9, 0- Rtionell uttryck. Förlängning och förkortning Först någr begrepp. Aritmetik eller räknelär är den mest grundläggnde formen v mtemtik. Ett ritmetiskt uttryck innehåller tl, men ing

Läs mer

Uppgiftssamling 5B1493, lektionerna 1 6. Lektion 1

Uppgiftssamling 5B1493, lektionerna 1 6. Lektion 1 Uppgiftssmling 5B1493, lektionern 1 6 Lektion 1 4. (Räkning med oändlig decimlbråk) Låt x = 0, 1 2 3 n och y = 0,b 1 b 2 b 3 b n ( i och b i siffror 0, 1,, 9).. Kn Du beskriv något förfrnde som säkert

Läs mer

Trigonometri. 2 Godtyckliga trianglar och enhetscirkeln 2. 3 Triangelsatserna Areasatsen Sinussatsen Kosinussatsen...

Trigonometri. 2 Godtyckliga trianglar och enhetscirkeln 2. 3 Triangelsatserna Areasatsen Sinussatsen Kosinussatsen... Trigonometri Innehåll 1 Rätvinklig tringlr 1 Godtyklig tringlr oh enhetsirkeln 3 Tringelstsern 4 3.1 restsen.............................. 4 3. Sinusstsen.............................. 5 3.3 Kosinusstsen.............................

Läs mer

x 12 12 = 32 12 x 11 + 11 = 26 + 11 x 20 + 20 = 45 + 20 x=3 x=5 x=6 42 = 10x x + 10 = 15 x + 10 10 = 15 10 11 + 9 = 20 x = 65 x + 36 = 46

x 12 12 = 32 12 x 11 + 11 = 26 + 11 x 20 + 20 = 45 + 20 x=3 x=5 x=6 42 = 10x x + 10 = 15 x + 10 10 = 15 10 11 + 9 = 20 x = 65 x + 36 = 46 Vilket tl sk stå i rutn så tt likheten stämmer? + Lös ekvtionen så tt likheten stämmer. = + 9 = + = + = = Det sk stå 9 i rutn. Subtrher båd leden med. r -termen sk vr kvr i vänstr ledet. Skriv rätt tl

Läs mer

Tentamen Programmeringsteknik II Skrivtid: Skriv läsligt! Använd inte rödpenna! Skriv bara på framsidan av varje papper.

Tentamen Programmeringsteknik II Skrivtid: Skriv läsligt! Använd inte rödpenna! Skriv bara på framsidan av varje papper. Tentmen Progrmmeringsteknik II 014-10-4 Skrivtid: 1400 1900 Tänk på följnde Skriv läsligt! Använd inte rödpenn! Skriv r på frmsidn v vrje ppper. Börj lltid ny uppgift på nytt ppper. Lägg uppgiftern i ordning.

Läs mer

XIV. Elektriska strömmar

XIV. Elektriska strömmar Elektromgnetismens grunder Strömmens riktning Mn definierr tt strömmen går från plus (+) till minus (-). För tt få till stånd en ström måste mn. Spänningskäll 2. Elektriskt lednde ledningr 3. Sluten krets

Läs mer

RÄKNEOPERATIONER MED VEKTORER. LINJÄRA KOMBINATIONER AV VEKTORER. ----------------------------------------------------------------- Låt u vr en vektor med tre koordinter u. Vi säger tt u är tredimensionell

Läs mer

GEOMETRISKA VEKTORER Vektorer i rummet.

GEOMETRISKA VEKTORER Vektorer i rummet. GEOMETRISKA VEKTORER Vektorer i rummet. v 6 Någr v de storheter som förekommer inom nturvetenskp kn specificers genom tt ders mätetl nges med ett end reellt tl. Exempel på sådn storheter, som klls sklär

Läs mer

9. Vektorrum (linjära rum)

9. Vektorrum (linjära rum) 9. Vektorrum (linjär rum) 43. Vektorrum (linjärt rum) : definition och xiom 44. Exempel på vektorrum v funktioner. 45. Hur definierr mn subtrktion i ett vektorrum? 46. Underrum 47. Linjärkombintioner,

Läs mer

Exponentiella förändringar

Exponentiella förändringar Eonentiell förändringr Eonentilfunktionen - llmänt Eonentilfunktionen r du tidigre stött å i åde kurs oc 2. En nyet är den eonentilfunktion som skrivs y = e. (Se fig. nedn) Tlet e, som är mycket centrlt

Läs mer

Tentamen i ETE115 Ellära och elektronik, 4/1 2017

Tentamen i ETE115 Ellära och elektronik, 4/1 2017 Tentmen i ETE5 Ellär och elektronik, 4/ 07 Tillåtn hjälpmedel: Formelsmling i kretsteori. Oserver tt uppgiftern inte är sorterde i svårighetsordning. All lösningr skll ges tydlig motiveringr. v 0 i 0 Beräkn

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit

Digitalteknik: CoolRunner-II CPLD Starter Kit CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna i digitalteknik kommer kortet att användas

Läs mer

Användande av formler för balk på elastiskt underlag

Användande av formler för balk på elastiskt underlag Användnde v formler för blk på elstiskt underlg Bilg 2 Sidn 1 v 1 Formler från [ ] hr nvänts i exelberäkningr för någr geometrier och någr lstfll. Dess exempel hr också beräknts med FEM för tt kontroller

Läs mer

Tentamen i ETE115 Ellära och elektronik, 25/8 2015

Tentamen i ETE115 Ellära och elektronik, 25/8 2015 Tentmen i ETE5 Ellär och elektronik, 5/8 05 Tillåtn hjälpmedel: Formelsmling i kretsteori. Oserver tt uppgiftern inte är sorterde i svårighetsordning. All lösningr skll ges tydlig motiveringr. Bestäm Thévenin-ekvivlenten

Läs mer

Kontrollskrivning 3 till Diskret Matematik SF1610, för CINTE1, vt 2019 Examinator: Armin Halilovic Datum: 2 maj

Kontrollskrivning 3 till Diskret Matematik SF1610, för CINTE1, vt 2019 Examinator: Armin Halilovic Datum: 2 maj Kontrollskrivning 3 till Diskret Mtemtik SF60, för CINTE, vt 209 Emintor: Armin Hlilovic Dtum: 2 mj Version B Resultt: Σ p P/F Etr Bonus Ing hjälpmedel tillåtn Minst 8 poäng ger godkänt Godkänd KS nr n

Läs mer

Associativa lagen för multiplikation: (ab)c = a(bc). Kommutativa lagen för multiplikation: ab = ba.

Associativa lagen för multiplikation: (ab)c = a(bc). Kommutativa lagen för multiplikation: ab = ba. Rtionell tl Låt oss skiss hur mn definierr de rtionell tlen utifrån heltlen. Förutom tt det ger en inblick i hur mtemtiken är uppbyggd, är dett är ett br exempel på ekvivlensreltioner och ekvivlensklsser.

Läs mer

Evighetskalender. 19 a) nyårsdagen var år 2000 b) julafton kommer att vara på år 2010 c) de första människorna landade på månen, 20 juli 1969

Evighetskalender. 19 a) nyårsdagen var år 2000 b) julafton kommer att vara på år 2010 c) de första människorna landade på månen, 20 juli 1969 Evighetsklender Vilken veckodg vr det när du föddes? På vilken veckodg fyller du 18 år? Med den här evighetsklendern kn du t red på det. Gör så här när du sk t red på veckodgen: Lägg ihop följnde fyr tl:

Läs mer

Sidor i boken

Sidor i boken Sidor i boken -5 Vi räknr en KS För tt ni sk få en uppfttning om hur en KS kn se ut räknr vi här igenom den end KS som givits i denn kurs! Totlt kn mn få poäng. Om mn lycks skrp ihop 7 poäng eller mer

Läs mer

SF1625 Envariabelanalys

SF1625 Envariabelanalys SF1625 Envribelnlys Föreläsning 13 Institutionen för mtemtik KTH 27 september 2017 SF1625 Envribelnlys Anmäl er till tentn Anmäl er till tentn nu. Det görs vi min sidor. Om det inte går, mejl studentexpeditionen

Läs mer

VHDL 1. Programmerbara kretsar

VHDL 1. Programmerbara kretsar VHDL 1 Programmerbara kretsar CPLD FPGA VHDL Kombinatorik with-select-when when-else Sekvensnät process case if-then-else Programmerbara kretsar PLD = programmable logic device CPLD = complex PLD, i princip

Läs mer

VHDL och laborationer i digitalteknik

VHDL och laborationer i digitalteknik V:1.1 VHDL och laborationer i digitalteknik Vid laborationskursen i digitalteknik används VHDL till alla laborationerna utom den första. VHDL är ett stort språk och enbart en liten del av språket behövs

Läs mer

INNEHALL. 7 7.1 7.2 7.2.1 7.2.2 7.2.3 7.2.4 7.2.5 7.2.6 7.2.7 7.2.8 t.3

INNEHALL. 7 7.1 7.2 7.2.1 7.2.2 7.2.3 7.2.4 7.2.5 7.2.6 7.2.7 7.2.8 t.3 INNEHALL 7 7.1 7.2 7.2.1 7.2.2 7.2.3 7.2.4 7.2.5 7.2.6 7.2.7 7.2.8 t.3 DATORER Allmänt Digitl dtorer Orgnistion Ordmm Minnesenheten Aritmetisk enheten Styrenheten In/utenheten Avbrott Spräk och proglmm

Läs mer

LINJÄR ALGEBRA II LEKTION 1

LINJÄR ALGEBRA II LEKTION 1 LINJÄR ALGEBRA II LEKTION JOHAN ASPLUND INNEHÅLL. VEKTORRUM OCH DELRUM Hel kursen Linjär Algebr II hndlr om vektorrum och hur vektorrum (eller linjär rum, som de iblnd klls) beter sig. Tidigre hr mn ntgligen

Läs mer

Nya regler för plåtbalkar-eurokod 3-1-5

Nya regler för plåtbalkar-eurokod 3-1-5 Bernt Johnsson 008-0-5 Ny regler för plåtlkr-eurokod --5 Bkgrund Med plåtlk mens en lk som är uppyggd v smmnsvetsde plåtr på engelsk plted structure. Plåtlkr nvänds när vlsde lkr inte räcker till eller

Läs mer

Finaltävling den 20 november 2010

Finaltävling den 20 november 2010 SKOLORNAS MATEMATIKTÄVLING Svensk Mtemtikersmfundet Finltävling den 20 november 2010 Förslg till lösningr Problem 1 Finns det en tringel vrs tre höjder hr måtten 1, 2 respektive 3 längdenheter? Lösning

Läs mer

Skriv tydligt! Uppgift 1 (5p)

Skriv tydligt! Uppgift 1 (5p) 1(1) IF1611 Ingenjörsmetodik för IT och ME, HT 1 Tentmen Gäller även studenter som är registrerde på B1116 Torsdgen den 1 okt, 1, kl. 14.-19. Skriv tydligt! Skriv nmn och personnummer på ll inlämnde ppper!

Läs mer

Löpsedel: Integraler. Block 4: Integraler. Lärobok. Exempel (jfr lab) Exempel (jfr lab) Integrering i Matlab

Löpsedel: Integraler. Block 4: Integraler. Lärobok. Exempel (jfr lab) Exempel (jfr lab) Integrering i Matlab Löpsedel: Integrler Block : Integrler Grundidé, numerisk kvdrtur Noggrnnet, teoretiskt Prktisk feluppskttning med ricrdsonextrpoltion Adptiv kvdrtur Noggrnnet, inverkn v mätfel/vrundningsfel Lärook Kp

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012 Med kommentarer för kursen ht 2012 2012 CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna

Läs mer

definitioner och begrepp

definitioner och begrepp 0 Cecili Kilhmn & Jokim Mgnusson Rtionell tl Övningshäfte Avsnitt definitioner och egrepp DEFINITION: Ett rtionellt tl är ett tl som kn skrivs som en kvot melln två heltl och där 0. Mängden rtionell tl

Läs mer

ORTONORMERAT KOORDINAT SYSTEM. LÄNGDEN AV EN VEKTOR. AVSTÅND MELLEN TVÅ PUNKTER. MITTPUNKT. TYNGDPUNKT. SFÄR OCH KLOT.

ORTONORMERAT KOORDINAT SYSTEM. LÄNGDEN AV EN VEKTOR. AVSTÅND MELLEN TVÅ PUNKTER. MITTPUNKT. TYNGDPUNKT. SFÄR OCH KLOT. Armin Hlilovi: EXTRA ÖVNINGAR v Vektorer oh koordinter i D-rummet ORTONORMERAT KOORDINAT SYSTEM LÄNGDEN AV EN VEKTOR AVSTÅND MELLEN TVÅ PUNKTER MITTPUNKT TYNGDPUNKT SFÄR OCH KLOT INLEDNING För tt bild

Läs mer

Bilaga 1. Beskrivning av uppgifterna och provresultaten

Bilaga 1. Beskrivning av uppgifterna och provresultaten Bilg 1. Beskrivning v uppgiftern oh provresultten 1997-00 I det följnde redoviss lydelsen på de olik uppgifter som ingår i testet oh resulttet för de fyr år som testet hittills hr nvänts. Härigenom kn

Läs mer

Vilken rät linje passar bäst till givna datapunkter?

Vilken rät linje passar bäst till givna datapunkter? Vilken rät linje pssr bäst till givn dtpunkter? Anders Källén MtemtikCentrum LTH nderskllen@gmil.com Smmnfttning I det här dokumentet diskuterr vi minst-kvdrtmetoden för skttning v en rät linje till dt.

Läs mer

Mat-1.1510 Grundkurs i matematik 1, del III

Mat-1.1510 Grundkurs i matematik 1, del III Mt-.50 Grundkurs i mtemtik, del III G. Gripenberg TKK december 00 G. Gripenberg TKK) Mt-.50 Grundkurs i mtemtik, del III december 00 / 59 Vribelbyte F gx))g x) dx = d F gx)) dx dx = / b F gx)) = F gb))

Läs mer

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1.

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg 2005-01-01 ver 1.0 Grundläggande kunskaper om Strukturell VHDL och TESTBÄDD Innehållsförteckning. sid Strukturbeskrivning 2 Digitalkonstruktionen

Läs mer

Grundläggande matematisk statistik

Grundläggande matematisk statistik Grundläggnde mtemtisk sttistik Diskret och kontinuerlig slumpvribler Uwe Menzel, 208 uwe.menzel@slu.se; uwe.menzel@mtstt.de www.mtstt.de Diskret och kontinuerlig slumpvribler Slumpvribel (s.v.): vribel

Läs mer

Programmeringsguide ipfg 1.6

Programmeringsguide ipfg 1.6 Progrmmeringsguide ipfg 1.6 Progrmmeringsklr i-ört pprter (CIC, knl, fullonh) Progrmmeringsklr kom-ört pprter CS-44 Phonk-version Progrmmeringsklr miropprter CS-44 Phonk-version 1 2 1 2 1 2 ipfg 1.6 stndrd

Läs mer

Matris invers, invers linjär transformation.

Matris invers, invers linjär transformation. Mtris invers, invers linjär trnsformtion. Påminnelse om mtris beräkningr: ddition, multipliktion med sklärer och mtrisprodukt Algebrisk egenskper hos mtrisddition och multipliktion med ett tl (Ly Sts..,

Läs mer

Operativsystemets uppgifter. Föreläsning 6 Operativsystem. Skydd, allmänt. Operativsystem, historik

Operativsystemets uppgifter. Föreläsning 6 Operativsystem. Skydd, allmänt. Operativsystem, historik Opertivsystemets uppgifter Föreläsning 6 Opertivsystem Opertivsystemets uppgifter Historik Skydd: in- oh utmtning, minne, CPU Proesser, tidsdelning Sidindelt minne, virtuellt minne Filsystem Opertivsystemet

Läs mer

Kvalificeringstävling den 2 oktober 2007

Kvalificeringstävling den 2 oktober 2007 SKOLORNAS MATEMATIKTÄVLING Svensk Mtemtikersmfundet Kvlifieringstävling den oktober 007 Förslg till lösningr 1 I en skol hr vr oh en v de 0 klssern ett studieråd med 5 ledmöter vrder Per är den ende v

Läs mer

Integralen. f(x) dx exakt utan man får nöja sig med att beräkna

Integralen. f(x) dx exakt utan man får nöja sig med att beräkna CTH/GU STUDIO TMVb - / Mtemtisk vetenskper Integrlen Anlys och Linjär Algebr, del B, K/Kf/Bt Inledning Mn kn inte lltid bestämm integrler f() d ekt utn mn får nöj sig med tt beräkn pproimtioner. T.e. e

Läs mer

Tentamen i EITF90 Ellära och elektronik, 28/8 2018

Tentamen i EITF90 Ellära och elektronik, 28/8 2018 Tentmen i EITF9 Ellär och elektronik, 8/8 8 Tillåtn hjälpmedel: Formelsmling i kretsteori. Oserver tt uppgiftern inte är sorterde i svårighetsordning. All lösningr skll ges tydlig motiveringr. Bestäm Thévenin-ekvivlenten

Läs mer

Ett förspel till Z -transformen Fibonaccitalen

Ett förspel till Z -transformen Fibonaccitalen Ett förspel till Z -trnsformen Fibonccitlen Leonrdo Pisno vnligen klld Leonrdo Fiboncci, den knske störste mtemtiker som Europ frmburit före renässnsen skrev år 10 en bok (Liber bci) i räknelär. J, fktiskt.

Läs mer

Konstruktionsmetodik för sekvenskretsar

Konstruktionsmetodik för sekvenskretsar Konstruktionsmetodik för sekvenskretsar Digitalteknik Föreläsning 7 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Inför laboration 2 Synkronisering av insignaler Asynkrona ingångar

Läs mer

4 Signaler och system i frekvensplanet Övningar

4 Signaler och system i frekvensplanet Övningar Signler och system i frevensplnet Övningr. Bestäm fourierserieoefficientern för de periodis signlern ) 7 δ [ n ] N = b) { δ [ n ] δ [ n 6] } N = c) { δ [ n + ] δ [ n ] } N =. T frm fourierserieoefficientern

Läs mer

Bilaga 1. Beskrivning av uppgifterna och provresultaten

Bilaga 1. Beskrivning av uppgifterna och provresultaten Bilg 1. Beskrivning v uppgiftern oh provresultten 1997-003 I det följnde redoviss lydelsen på de olik uppgifter som ingår i testet oh resulttet för de fyr år som testet hittills hr nvänts. Härigenom kn

Läs mer

Integraler och statistik

Integraler och statistik Föreläsning 8 för TNIU Integrler och sttistik Krzysztof Mrcinik ITN, Cmpus Norrköping, krzm@itn.liu.se www.itn.liu.se/krzm ver. 4 - --8 Inledning - lite om sttistik Sttistik är en gren v tillämpd mtemtik

Läs mer

Tillämpning - Ray Tracing och Bézier Ytor. TANA09 Föreläsning 3. Icke-Linjära Ekvationer. Ekvationslösning. Tillämpning.

Tillämpning - Ray Tracing och Bézier Ytor. TANA09 Föreläsning 3. Icke-Linjära Ekvationer. Ekvationslösning. Tillämpning. TANA09 Föreläsning 3 Tillämpning - Ry Trcing och Bézier Ytor z = B(x, y) q o Ekvtionslösning Tillämpning Existens Itertion Konvergens Intervllhlveringsmetoden Fixpuntsitertion Newton-Rphsons metod Anlys

Läs mer

Datorernas matematik

Datorernas matematik Stockholms mtemtisk cirkel Dtorerns mtemtik Dniel Ahlsén Jor Bgge Institutionen för mtemtik, KTH och Mtemtisk institutionen, Stockholms universitet 2019 2020 Stockholms mtemtisk cirkel genom tidern (tidigre

Läs mer

Analys o 3D Linjär algebra. Lektion 16.. p.1/53

Analys o 3D Linjär algebra. Lektion 16.. p.1/53 Anlys o 3D Linjär lgebr Lektion 16. p.1/53 . p.2/53 v 3D Linjär lgebr Hr betrktt vektorer v typen etc resp dvs ordnde triplr v typen. reell tl 3D Linjär lgebr Punkt-vektor dulismen En ordnd tripel v typen

Läs mer

EGENVÄRDEN och EGENVEKTORER

EGENVÄRDEN och EGENVEKTORER EGENVÄRDEN och EGENVEKTORER Definition. (Linjär vbildning) En funktion T från R n (n-dimensionell vektorer) till R m (m-dimensionell vektorer) säges vr en linjär vbildning ( linjär funktion eller linjär

Läs mer

Uttryck höjden mot c påtvåolikasätt:

Uttryck höjden mot c påtvåolikasätt: Sinusstsen Beviset i PB gger å tre resultt som nog få gmnsieelever är förtrogn med. Vrje tringel hr en s.k. omskriven cirkel en cirkel som går genom ll tre hörnen : C Uttrck höjden mot c åtvåoliksätt:

Läs mer

Att mäta, hur mäter vi och vilka referenser använder vi?

Att mäta, hur mäter vi och vilka referenser använder vi? tt mät, hur mäter vi oh vilk referenser nvänder vi? SI sstemet (Sstème Interntionl d'unités) som är ett metriskt sstem. Dett sstem är interntionellt vedertget inom forskrvärlden oh är det som lärs ut i

Läs mer

1. (6p) (a) Använd delmängdskonstruktionen för att tillverka en DFA ekvivalent med nedanstående NFA. (b) Är den resulterande DFA:n minimal? A a b.

1. (6p) (a) Använd delmängdskonstruktionen för att tillverka en DFA ekvivalent med nedanstående NFA. (b) Är den resulterande DFA:n minimal? A a b. UPPSAA UNIVERSITET Mtemtisk institutionen Slling (070-6527523) PROV I MATEMATIK AUTOMATATEORI 18 okt 2012 SKRIVTID: 8-13. HJÄPMEDE: Ing. MOTIVERA AA ÖSNINGAR NOGGRANT. BETYGSGRÄNSER: För etygen 3, 4 respektive

Läs mer

1 Bestäm Théveninekvivalenten med avseende på nodparet a-b i nedanstående krets.

1 Bestäm Théveninekvivalenten med avseende på nodparet a-b i nedanstående krets. (7) 9 jnuri 009 Institutionen för elektro och informtionsteknik Dniel Sjöerg ETE5 Ellär och elektronik, tentmen jnuri 009 Tillåtn hjälpmedel: formelsmling i kretsteori. Oserver tt uppgiftern inte är sorterde

Läs mer

Induktion LCB 2000/2001

Induktion LCB 2000/2001 Indution LCB 2/2 Ersätter Grimldi 4. Reursion och indution; enl fll n 2 En tlföljd n nturligtvis definiers genom tt mn nger en explicit formel för uträning v n dess 2 element, som till exempel n 2 () n

Läs mer

Preliminär version 2 juni 2014, reservation för fel. Tentamen i matematik. Kurs: MA152G Matematisk Analys MA123G Matematisk analys för ingenjörer

Preliminär version 2 juni 2014, reservation för fel. Tentamen i matematik. Kurs: MA152G Matematisk Analys MA123G Matematisk analys för ingenjörer Lösningsförslg Högskoln i Skövde SK, JS) Preliminär version juni 0, reservtion för fel. Tentmen i mtemtik Kurs: MA5G Mtemtisk Anlys MAG Mtemtisk nlys för ingenjörer Tentmensdg: 0-05- kl.0-9.0 Hjälpmedel

Läs mer

Listor = generaliserade strängar. Introduktion till programmering SMD180. Föreläsning 8: Listor. Fler listor. Listindexering.

Listor = generaliserade strängar. Introduktion till programmering SMD180. Föreläsning 8: Listor. Fler listor. Listindexering. 1 Introduktion till progrmmering SMD180 Föreläsning 8: Listor 2 Listor = generliserde strängr Strängr = sekvenser v tecken Listor = sekvenser v vd som helst [10, 20, 30, 40] # en list v heltl ["spm", "ungee",

Läs mer

Repetitionsuppgifter i matematik

Repetitionsuppgifter i matematik Lärrprogrmmet Ingång Mtemtik och Lärnde Repetitionsuppgifter i mtemtik Inför vårterminens mtemtikstudier kn det vr r tt repeter grundläggnde räknefärdigheter. Dett mteril innehåller uppgifter inom följnde

Läs mer

============================================================ V1. Intervallet [a,b] är ändligt, dvs gränserna a, b är reella tal och INTE ±.

============================================================ V1. Intervallet [a,b] är ändligt, dvs gränserna a, b är reella tal och INTE ±. GENERALISERADE INTEGRALER När vi definierr Riemnnintegrl ntr vi tt följnde två krv är uppfylld: V Intervllet [,] är ändligt, dvs gränsern, är reell tl och INTE ± V Funktionen f () är egränsd i intervllet

Läs mer

Byt till den tjocka linsen och bestäm dess brännvidd.

Byt till den tjocka linsen och bestäm dess brännvidd. LINSER Uppgit: Mteriel: Teori: Att undersök den rytnde örmågn hos olik linser och tt veriier linsormeln Ljuskäll och linser ur Optik-Elin Med hjälp v en lmp och en ländre med ler öppningr år vi ler ljusstrålr,

Läs mer

Tentamen ETE115 Ellära och elektronik för F och N,

Tentamen ETE115 Ellära och elektronik för F och N, Tentmen ETE5 Ellär och elektronik för F och N, 009 087 Tillåtn hjälpmedel: formelsmling i kretsteori och elektronik. Oserver tt uppgiftern inte är ordnde i svårighetsordning. All lösningr skll ges tydlig

Läs mer

MEDIA PRO. Introduktion BYGG DIN EGEN PC

MEDIA PRO. Introduktion BYGG DIN EGEN PC BYGG DIN EGEN PC MEDIA PRO Introduktion Dett är Kjell & Compnys snguide till hur Dtorpketet MEDIA PRO monters. Att ygg en dtor är idg myket enkelt oh kräver ingen tidigre erfrenhet. Det ehövs ing djupgående

Läs mer

Digital elektronik CL0090

Digital elektronik CL0090 Digital elektronik CL9 Föreläsning 5 27-2-2 8.5 2. Naxos Demonstration av uartus programvara. Genomgång av uartus flödesschema. Detta dokument finns på kurshemsidan. http://www.idt.mdh.se/kurser/cl9/ VHDL-kod

Läs mer

SF1625 Envariabelanalys

SF1625 Envariabelanalys Modul 5: Integrler Institutionen för mtemtik KTH 30 november 4 december Integrler Integrler är vd vi sk håll på med denn veck och näst. Vi kommer tt gör följnde: En definition v vd begreppet betyder En

Läs mer

vara n-dimensionella vektorer. Skalärprodukten av a och b betecknas a b ) vara tvådimensionella vektorer. Skalärprodukten av a och b är

vara n-dimensionella vektorer. Skalärprodukten av a och b betecknas a b ) vara tvådimensionella vektorer. Skalärprodukten av a och b är Armin Hliloic: EXTRA ÖVNINGAR Sklärprodkt och ektorprojektion SKALÄRPRODUKT. EGENSKAPER. GEOMETRISK TOLKNING. PROJEKTION AV EN VEKTOR PÅ EN RÄT LINJE Sklärprodkt i R n, R och R : Definition. Låt,,...,

Läs mer

Lösningar och kommentarer till uppgifter i 1.2

Lösningar och kommentarer till uppgifter i 1.2 Lösningr och kommentrer till uppgifter i.2 202 d) t t 2 25 t (t 5)(t + 5) Med hjälp v konjugtregeln kn vi fktoriser nämnren. Eftersom nämnren inte får bli noll är ej t 5 eller t 5 tillåtn. 206 Först presenterr

Läs mer

Integraler. 1 Inledning. 2 Beräkningsmetoder. CTH/GU LABORATION 2 MVE /2013 Matematiska vetenskaper

Integraler. 1 Inledning. 2 Beräkningsmetoder. CTH/GU LABORATION 2 MVE /2013 Matematiska vetenskaper CTH/GU LABORATION MVE6 - / Mtemtisk vetenskper Inledning Integrler Iblnd kn mn inte bestämm integrler exkt utn mn får nöj sig med tt beräkn pproximtioner. T.ex. e x dx kn inte beräkns exkt, eftersom det

Läs mer

13 Generaliserade dubbelintegraler

13 Generaliserade dubbelintegraler Nr 3, 4 pril -5, Ameli 3 Generliserde dubbelintegrler 3. Generliserde enkelintegrler Integrerbrhet är definiert för funktioner som är begränsde och definierde på ett ändligt intervll. ett kn i mång fll

Läs mer

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design onsdagen den 5/ Tentamen i IE1204/5 Digital Design onsdagen den 5/6 2013 9.00-13.00 Tentamensfrågor med lösningsförslag Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista

Läs mer

Kan det vara möjligt att med endast

Kan det vara möjligt att med endast ORIO TORIOTO yllene snittet med origmi ed endst någr få vikningr kn mn få frm gyllene snittet och också konstruer en regelbunden femhörning. I ämnren nr 2, 2002 beskrev förfttren hur mn kn rbet med hjälp

Läs mer

AUBER 95 9 jan LÖSNINGAR STEG 1:

AUBER 95 9 jan LÖSNINGAR STEG 1: AUBER 95 9 jn AR. Den finit utomten nedn ccepterr ett språk L över = {, }. A B ε Konstruer ) ett reguljärt uttryck för L. ) L = ( ( ) ) = ( ) ) en reguljär grmmtik för L S A S A c) en miniml DFA för L.

Läs mer

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik Programmerbara kretsar och VHDL 2 Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik 2 Dagens föreläsning Programmerbara kretsar igen Mer om processer Egna typer Använda

Läs mer

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design onsdagen den 5/ Tentamen i IE1204/5 Digital Design onsdagen den 5/6 2013 9.00-13.00 Allmän information Exaator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista IE1204) Tentamensuppgifterna behöver

Läs mer

Det energieffektiva kylbatteriet

Det energieffektiva kylbatteriet Croline Hglund, Civ.ing. SP Sveriges Provnings- och Forskningsinstitut, Energiteknik, Borås, croline.hglund@sp.se Per Fhlén, Prof. Inst. för Instlltionsteknik, CTH, Göteorg, per.fhlen@hvc.chers.se Det

Läs mer

ORTONORMERADE BASER I PLAN (2D) OCH RUMMET (3D) ORTONORMERAT KOORDINAT SYSTEM

ORTONORMERADE BASER I PLAN (2D) OCH RUMMET (3D) ORTONORMERAT KOORDINAT SYSTEM Armin Hlilovi: EXTRA ÖVNINGAR 1 v 1 Ortonormerde bser oh koordinter i 3D-rummet ORTONORMERADE BASER I PLAN D OCH RUMMET 3D ORTONORMERAT KOORDINAT SYSTEM Vi säger tt en bs i rummet e r, e r, e r z e r,

Läs mer

Sfärisk trigonometri

Sfärisk trigonometri Sfärisk trigonometri Inledning Vi vill nvänd den sfärisk trigonometrin för beräkningr på storcirkelrutter längs jordytn (för sjöfrt och luftfrt). En storcirkel är en cirkel på sfären vrs medelpunkt smmnfller

Läs mer

Bokstavsräkning. Regler och knep vid bokstavsräkning

Bokstavsräkning. Regler och knep vid bokstavsräkning Mtemtik Bokstvsräkning Du står nu inför en ny kurs i mtemtik, där meningen är tt du sk tillgodogör dig ny teorier, som smtlig leder frm till övningr och uppgifter. Även om du förstått vd teorin sk nvänds

Läs mer

LABORATIONSINSTRUKTION LABORATION. Räknare och skiftregister med sekvensiell VHDL. LAB NR Ver KURS. Digitalteknik INNEHÅLL. 1.

LABORATIONSINSTRUKTION LABORATION. Räknare och skiftregister med sekvensiell VHDL. LAB NR Ver KURS. Digitalteknik INNEHÅLL. 1. Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Räknare och skiftregister med sekvensiell VHDL KURS Digitalteknik LAB NR Ver09 INNEHÅLL. Strukturell VHDL. Sekvensiell VHDL 3. Strukturell

Läs mer

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik Konstruktionsmetodik för sekvenskretsar Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik 2 Dagens föreläsning Initiering av starttillstånd Programmerbar logik Syntesflödet

Läs mer

Föreläsning 7. Splay-träd. Prioritetsköer och heapar. Union/Find TDDC70/91: DALG. Innehåll. Innehåll. 1 Splay-träd

Föreläsning 7. Splay-träd. Prioritetsköer och heapar. Union/Find TDDC70/91: DALG. Innehåll. Innehåll. 1 Splay-träd Föreläsning 7 Sply-träd. rioritetsköer oh hepr. Union/Find TDDC70/1: DALG Utskriftsversion v föreläsning i Dtstrukturer oh lgoritmer 7 septemer 01 Tommy Färnqvist, IDA, Linköpings universitet 7.1 Innehåll

Läs mer

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2 2016 LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y Konstruktion av sekvenskretsar med CPLD Version: 2.2 2014 (OVA, MK) 2015 (OVA, MK) 2016 (OVA, MK) Olov Andersson 1(11) 1. Inledning Syftet

Läs mer

Volum av rotationskroppar. Båglängd, rotationsytor. Adams 7.1, 7.2, 7.3

Volum av rotationskroppar. Båglängd, rotationsytor. Adams 7.1, 7.2, 7.3 Volum v rottionskroppr. Båglängd, rottionsytor. Adms 7., 7., 7.3 Volum v rottionskroppr. Båglängd, rottionsytor. Integrtion v rtionell uttryck, prtilbråksuppdelning. Exempel med invers substitutioner.

Läs mer

Materiens Struktur. Lösningar

Materiens Struktur. Lösningar Mteriens Struktur Räkneövning 1 Lösningr 1. I ntriumklorid är vrje N-jon omgiven v sex Cl-joner. Det intertomär vståndet är,8 Å. Ifll tomern br skulle växelverk med Coulombväxelverkn oh br med de närmste

Läs mer

Area([a; b] [c; d])) = (b a)(d c)

Area([a; b] [c; d])) = (b a)(d c) Aren och integrl Summor Huvudämne i föreläsningen är reor v gurer i plnet och integrler. Integrl är ett egrepp som låter de nier reor v gurer i plnet, och speciellt eräkn reor melln grfer v funktioner

Läs mer

1 e x2. lim. x ln(1 + x) lim. 1 (1 x 2 + O(x 4 )) = lim. x 0 x 2 /2 + O(x 3 ) x 2 + O(x 4 ) = lim. 1 + O(x 2 ) = lim = x = arctan x 1

1 e x2. lim. x ln(1 + x) lim. 1 (1 x 2 + O(x 4 )) = lim. x 0 x 2 /2 + O(x 3 ) x 2 + O(x 4 ) = lim. 1 + O(x 2 ) = lim = x = arctan x 1 UPPSALA UNIVERSITET Svr till tent i mtemtik Mtemtisk institutionen Anlys MN Distns Jons Elisson 7-- Skrivtid: - 5. Observer tt problemen inte står i svårighetsordning. All svr sk motivers. Det kn krävs

Läs mer

Appendix. De plana triangelsatserna. D c

Appendix. De plana triangelsatserna. D c ppendix e pln tringelstsern Pythgors sts: I en rätvinklig tringel gäller, med figurens etekningr: 2 = 2 + 2 1 2 evis: Vi utnyttjr likformigheten melln tringlrn, oh. v denn får vi, med figurens etekningr:

Läs mer

Rektangulär kanal, K. Produktbeteckning. Beteckningsexempel. Sida A (se storlekstabell) Sida B (se storlekstabell)

Rektangulär kanal, K. Produktbeteckning. Beteckningsexempel. Sida A (se storlekstabell) Sida B (se storlekstabell) K Rektngulär knl, K Produkteteckning Produkt K c d Sid A (se storlekstell) Sid B (se storlekstell) Längd 1=2000 mm 2= 1250 mm 3= 1000 mm 4= 600 mm 5= Löpnde längd nges i klrtext (mx 2500 mm) 1= Skrv i

Läs mer

FORMELLA SPRÅK, AUTOMATER OCH BERÄKNINGSTEORI ÖVNINGSUPPGIFTER PÅ REGULJÄRA SPRÅK

FORMELLA SPRÅK, AUTOMATER OCH BERÄKNINGSTEORI ÖVNINGSUPPGIFTER PÅ REGULJÄRA SPRÅK FORMELLA SPRÅK, AUTOMATER OCH BERÄKNINGSTEORI ÖVNINGSUPPGIFTER PÅ REGULJÄRA SPRÅK Förord Dett kompendium innehåller övningr inom reguljär språk för kursen Formell språk, utomter och eräkningsteori som

Läs mer

Digital Design IE1204/5

Digital Design IE1204/5 Digitl Design IE4/5 Övningshäfte Smmnställt v Willim Sndqvist willim@kth.se ICT/Elektroniksystem Tlsystem oh koder. Nednstående deiml tl med sen är givn. Ange motsvrnde inär tl. 9 7 d 53. Omvndl nednstående

Läs mer

Tentamen i ETE115 Ellära och elektronik, 3/6 2017

Tentamen i ETE115 Ellära och elektronik, 3/6 2017 Tentmen i ETE115 Ellär och elektronik, 3/6 17 Tillåtn hjälpmedel: Formelsmling i kretsteori. Oserver tt uppgiftern inte är sorterde i svårighetsordning. All lösningr skll ges tydlig motiveringr. 1 8 V

Läs mer