LABORATIONSINSTRUKTION LABORATION. Räknare och skiftregister med sekvensiell VHDL. LAB NR Ver KURS. Digitalteknik INNEHÅLL. 1.

Storlek: px
Starta visningen från sidan:

Download "LABORATIONSINSTRUKTION LABORATION. Räknare och skiftregister med sekvensiell VHDL. LAB NR Ver KURS. Digitalteknik INNEHÅLL. 1."

Transkript

1 Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Räknare och skiftregister med sekvensiell VHDL KURS Digitalteknik LAB NR Ver09 INNEHÅLL. Strukturell VHDL. Sekvensiell VHDL 3. Strukturell VHDL med grafiskt gränssnitt. Återkopplade skiftregister 5. Seriell 8-bitars dataöverföring NAMN KOMMENTARER PROGRAM/KURS UTFÖRD GODKÄND SIGN

2 Laboration nr Digitalteknik Innehåll: Syfte: Strukturell och sekventiell VHDL Att få kunskap och praktisk efarenhet av: grafiskt gränssnitt för strukturell VHDL de vanligaste instruktionerna i sekvensiell VHDL kombinatoriska och synkrona processer ivhdl en generator för binära slumptal att studera seriell dataöverföring simulering av digitala konstruktioner realisering (programmering) av VHDL-konstruktioner i en CPLD dokumentation Strukturell VHDL De kretsar som hittills har beskrivits i laboration och 3 har bestått av enbart en modul med VHDL-kod. Med bara en modul är det svårt att strukturera konstruktionen och det är också svårt att återanvända koden. Att använda flera moduler är därför nödvändigt och det görs i princip alltid vid konstruktioner med VHDL. Modulerna innebär också att man kan göra hierarkiska konstruktioner d.v.s. att konstruktionen består av olika nivåer. För att kunna använda flera moduler i samma beskrivning gör man om dem till en komponent (component) som kan sparas i komponentbibliotek och användas i olika tillämpningar. När man använder komponenter gör man först kopior av modulen genom instanser (instance) och förbinder (port map) sedan kopiorna med varandra. I läroboken finns exempel på detta på sid 0-3 och normalt använder man alltid den metoden. Med Webpack kan man använda ett grafiskt gränssnitt för att göra exakt samma sak och det är om möjligt ännu enklare. En nackdel med metoden är att det inte är lika lätt att flytta konstruktionen mellan olika miljöer men om man bara använder samma miljö så fungerar den alldeles utmärkt. Med det grafiska gränssnittet förbinder man komponenterna med trådar precis som när man ritar ett kopplingsschema. De externa in- och utgångarna markeras med markörer för input/output och därefter kan hela konstruktionen syntetiseras på vanligt sätt. Metoden är demonstrerad på föreläsning och i korthet gör man följande: Skriv separata moduler och syntetisera dem på normalt sätt. Spar grafiska symboler för modulerna med Create Schematic Symbol Skapa en ny källkodsfil med Project/New Source/Schematic/. Genom kommandot öppnas ett tomt dokument i den grafiska editorn. Markera Symbols/<project name> Till vänster på bildskärmen visas vilka symboler som finns tillgängliga och där finns symboler för de egna modulerna. Placera symbolerna på ritytan med musen (motsvarar instansiering) Förbind symbolerna med pennan och tryck-drag-släpp med musen (motsvarar port map) Anslut korta trådar på alla in- och utgångar Anslut Input Marker / Output marker på alla in- och utgångar Namnge näten (klicka på abc-symbolen, skriv ett signalnamn, klicka på tråden) Spara det färdiga schemat och stäng den grafiska editorn Syntes av Schemat görs därefter på samma sätt som de separata modulerna. Observera att det finns nya markeringar i Sources for Project som visar att modulerna tillhör det överordnade schemat. Lab - - pls

3 Sekvensiell VHDL Sekvensiell VHDL innebär att instruktionerna i VHDL-koden utförs i sekvens där den första instruktionen görs först, sedan nästa osv. Eftersom instruktionerna fortfarande ska motsvara hårdvara som är parallell till sin natur och inte kan beskrivas i tidsordning har man gjort på ett speciellt sätt. Metoden är att den sekvensiella koden skrivs i processer som antingen är passiva eller aktiva. Processen blir aktiv genom att en av parametrarna till processen förändras. När en process är aktiv stoppas den verkliga tiden och instruktionerna genomförs sekvensiellt utan att den verkliga tiden förändras. I processen förändras signalvärden och värdena tilldelas signalvariablerna när processen avslutas. Om en utsignal inte har fått ett nytt värde så behåller signalen det gamla värdet genom att en minnesfunktion (latch/vippa) har skapats. Med sekvensiell VHDL kan flera instruktioner användas och tabellen nedan ger en sammanställning av de flesta av instruktionerna och när de kan användas: Parallell VHDL signal declaration (*) process statement (*) when else statement (*) with select statement(*) Sekvensiell VHDL variable declaration variable assignment if-then-else statement(*) case statement(*) loop statement return statement null statement wait statement Gemensamma signal assignment (*) declaration of types and constants function and procedure calls assert statement after delay statement signal attributes (*) markerar de instruktioner som används mest i labkursen i digitalteknik Observera att process statement är en parallell instruktion vilket innebär att alla processer exekveras samtidigt. Signaler deklareras i den parallella delen men är även åtkomliga i den sekvensiella delen. Variabler kan vara praktiska att använda ibland men oftast räcker det med signaler. Att använda processer i VHDL är mycket vanligt och det förenklar beskrivningarna i hög grad. Det är mycket viktigt är att få en känsla för hur processerna fungerar och man brukar skilja mellan kombinatoriska processer och synkrona processer. En process består av: process(sensitivity_list) process_deklaration.. Lab - - pls

4 Kombinatoriska processer I kombinatoriska processer måste alla insignaler till processen finnas med i sensitivity list. Det är inte säkert att det blir fel om någon signal utelämnas men det kan bli skillnader mellan simulering och verklighet. Naturligtvis måste alla utsignaler tilldelas värden annars uppstår minnesfunktioner för de signaler som inte får värden. När man använder if-satser är det lätt att glömma det. Exempel på en kombinatorisk process: process(a,b,c) variable temp: std_logic; temp:=a or b; ut<=temp and not c; I exemplet är en variabel deklarerad och använd på ett sätt som kan förenkla kodningen. Observera att variabeln temp i det här fallet är av typen std_logic och att tilldelningen sker med en annan operator (:=). Synkrona processer Synkrona processer styrs av flanken på en klocksignal. Sensivity list måste innehålla klocksignalen och andra direktverkande signaler (tex reset). Här är det fel att ta med alla insignaler eftersom då försvinner den synkrona klockningen. Exempel på en synkron process: process (clk,resetn) if resetn = 0 then q<= 0 ; elsif clk event and clk = then q<=d; Exemplet visar koden för en positivt flanktriggad D-vippa med direktverkande resetsignal. Kommentarer: processen startar om clk eller resetn i sensivity list förändras. Om resetn blir är inget av villkoren uppfyllda och föregående värde (alltså minnesfunktion) behålles. Om resetn blir 0 blir q nollställd och inga fler satser utförs. När clk blir en etta, (positiv flank, clk event, clock tic event) blir villkoret efter elsif true och q tilldelas värdet av d. Event är ett attribut till signalen clk och returnerar true om det finns en flank på clk, annars false. Uttrycket är lite märkligt men det är vanligt skrivsätt för positiv flank. I läroboken används rising_edge(clk) som gör samma sak. Lägg märke till end if och elsif. I synkrona processer för t.ex. räknare och register behöver man ofta använda det aktuella värdet både som insignal ( läsning ) och för att ge nya utdata ( skrivning ). De signaler som man normalt använder är deklarerade i entity (externa signaler) och är av typ out (skrivning) eller in (läsning) men kan inte användas för båda operationerna. Ofta ersätter man de externa signalerna med kopior, dummy-signaler, som deklareras direkt under architecture. Kopian är både läs- och skrivbar och används internt i processen. När processen är avslutad överförs kopiornas värden till de externa signalerna i en parallell sats utanför processen. I källkoderna i slutet av lab-pm används kopior enligt denna metod. Kopiorna, dummy-signalerna, är betecknade med <name>_b. OBS signaler av typen inout får inte deklareras i entity i detta fall. Lab pls

5 If statement Syntaxen för if-satsen är: if <condition> then <sequence of statements> [elsif <condition> then <sequence of statements>] [elsif <condition> then <sequence of statements>]... [else <sequence of statements>] varje statement avslutas med semikolon; <condition> (villkor) ger värdet true/false och uttrycks med relationsoperatorerna = /= < <= > >= bara ett av elsif kan utföras else är villkorslöst och kan utelämnas Med if-satsen är det enkelt att konstruera val mellan flera olika alternativ där bara ett av alternativen blir utfört Case statement Syntaxen är för case-satsen är: case <expression> is when <choice> => <sequence of statements> when <choice> => <sequence of statements>. [when others => [<sequence of statements>];] end case; choice kan bestå av flera villkor med operatorn (or) I <expression> erhålles ett värde som styr vilken when sats som kommer att utföras. Exempel på användning av case-satsen: process(data_in,a) case a is when 00 => data_ut <= data_in(0); when 0 => data_ut <= data_in(); when 0 => data_ut <= data_in(); when => data_ut <= data_in(3); when others => null; end case; Exemplet beskriver en MUX - med hjälp av en kombinatorisk process och case-satsen. Lab - - pls

6 3 Strukturell VHDL med grafiskt gränssnitt I figuren nedan visas en 7-segmentdisplay som är ansluten till en dekadräknare och en frekvensdelare. Dekadräknaren räknar uppåt och med ett steg i sekunden och räknevärdet syns på displayen längst till vänster på utvecklingskortet för CoolRunner. Siffrorna i figuren visar anslutningsstiften på utvecklingskortet. clk BTN 38 9 CoolRunner-II CPLD modul clk_div clk resetn en_clk modul cnt_bcd en clk resetn modul hexled *00Ω 6 (59) a b c d e f g dp f e 30.kΩ a g d b c +3.3V Konstruktionen är gjord i tre VHDL moduler som är sammankopplade med hjälp av det grafiska gränssnittet i WebPack. Modulerna är: clk_div 0 bitars binärräknare, dvs ä insignaler clk MHz systemklocka resetn resetsignal, aktiv på låg nivå utsignal en_clk har värdet varje gång räknaren har nått sitt slutvärde. cnt_bcd BCD räknare, räknar 0,,,9,0,, insignaler en aktiverar kretsen, enable clk MHz systemklocka resetn resetsignal, aktiv på låg nivå utsignaler fyra bitar som ger BCD-koden, ex 00 ska ge siffran 9 på displayen. hexled Omvandlar BCD-koden till 7 segmentkod, se föregående laboration. Observera att modulen även ger utsignaler till de fyra transistorerna som driver respektive sifferdisplay Observera att BCD-räknaren styrs av systemklockan och att den görs enable ca gång i sekunden via binärräknaren. Alla kretsar i en sekvenskrets bör (måste) klockas av exakt samma klocksignal. VHDL-koderna för de två räknarmodulerna finns sist i laborationen. Kopiera, förstå. dp Uppgift: Skriv (kopiera)vhdl kod för:modulerna clk_div, cnt_bcd och hexled. Syntetisera modulerna och omvandla dem till schemasymboler med Create Schematic Symbol. Koppla samman modulerna med hjälp av det grafiska gränssnittet enligt figuren ovan. Visa att konstruktionen fungerar i verkligheten. Redovisa med schema från Schematic, tidsfördröjning (från Timing Report), antalet använda makroceller etc (från Fitter Report) och eventuellt egna kommentarer. Redovisningen skall vara i skriven i ett Word-dokument (använd gärna typsnittet Courier på passande ställen) Tips Schemat för konstruktionen kan kopieras från schemaeditorn med tex Snipping Tool (gratisprogram) för Windows. Lab pls

7 Uppgift: Modifiera koden för räknaren och 7-segmentindikatorna så att den styrs av ytterligare en signal even. Funktionen skall vara: even = => uppåträkning av enbart de jämna talen (0,,,6,8,0,,.) even =0 => uppåträkning av enbart de udda talen (,3,5,7,9,,3..) när even förändras skall räkningen i den nya sekvensen fortsätta med det närmast högre värdet. Tips: En binärräknare eller en dekadräknare är lätt att beskriva med +-operatorn eftersom den har en enkel räknesekvens. För den modifierade räknaren enligt uppgiften blir det svårare och det är bättre att göra på något annat sätt. Prova t.ex. med en case-sats. case c_b is when 0000 => if even= then c_b<= 000 ; else c_b<= 000 ; osv Visa att konstruktionen fungerar i verkligheten. Redovisa med källkod för den modifierade räknaren, tidsfördröjning (från Timing Report), antalet använda makroceller etc (från Fitter Report) och eventuellt egna kommentarer. Redovisningen skall vara i skriven i ett Word-dokument (använd gärna typsnittet courier på passande ställen) Lab pls

8 Återkopplade skiftregister, frivillig uppgift Återkopplade skiftregister enligt figuren nedan kallas linjära sekvenskretsar och kan användas för att generera så kallade pseudoslumpsekvenser (PRBS, Pseudo Random Binary Sequence). På serieutgången erhålles en sekvens av ettor och nollor i en skenbart slumpmässig följd och med tillräckligt stort register kan man skapa riktiga slumptal. Ett av kraven på en riktig slumptalsföljd är att den är oändligt lång och för ett n-bitars skiftregister blir den maximala längden n - slumptal. Med 3 bitar (n=3) i skiftregistret blir slumptalsföljden drygt miljarder bitar lång. Binära slumptal är mycket användbara och kan användas för t.ex. kodning av datatrafik eller för att styra frekvenshopp vid radiotrafik. resetn Återkopplat skiftregister resetn Återkopplat skiftregister clk serie in q3 q q q0 serie ut (=q0) clk serie in q3 q q q0 serie ut (=q0) = = Din = Kodad dataöverföring DX = Dut Figuren ovan visar en sändare och en mottagare för en dataförbindelse som använder kodning med hjälp av återkopplade skiftregister. För att sändare och mottagare ska fungera måste de generera exakt samma slumptalsföljd på utgångarna q0. För att få samma slumptalsföljd måste de startas på samma ställe i slumptalsföljden och sedan styras av samma klocksignal. Signalen DX är den kodade signalen på dataöverföringen och den kommer att vara svår att tolka om man inte känner till kodningen. Uppgift: Skriv kod för en modul med VHDL som beskriver ett återkopplat skiftregister enligt figuren. Modulen kan även innehålla den nedre XOR-grinden eftersom den är kopplad på samma sätt i både sändare och mottagare. Gör två instansieringar med det grafiska gränssnittet och förbind dem med varandra. Simulera och försök att verifiera konstruktionen. Programmera en CPLD med konstruktionen och testa den i verkligheten. Anslut en switch till Din och lysdioder till DX och Dut. Klocka skiftregistren med en studsfri kontakt och kontrollera att den kodade dataöverföringen fungerar. Redovisa på samma sätt som föregående uppgift. Lab pls

9 5 Seriell 8-bitars dataöverföring, frivillig uppgift Uppgift: Kopplingsschemat på nästa sida visar en koppling som ska göra en dataöverföring genom att: läsa in 8 databitar parallellt till ett skiftregister (den vänstra SRG8-kretsen) överföra data seriellt till ett annat skiftregistret (den högra SRG-kretsen) spara det överförda databitarna i ett 8 bitars dataregister (LS377) överföringen skall styras av en räknare med åtta räknelägen (CTR) Naturligtvis finns det ett litet problem med dataöverföringen och problemet är att den inte fungerar som det är tänkt. Det finns åtminstone ett logiskt fel i kopplingen! För att slippa göra en koppling med de gamla kretsarna så finns motsvarande funktioner beskrivna i VHDL-kod och tanken är att de ska kopplas samman med hjälp av det grafiska gränssnittet och testas i en CPLD. Troligen är det bättre att göra en helt ny konstruktion i VHDL men i det här fallet ska den gamla konstruktionen återskapas. Naturligtvis kan det hända att VHDL-koden innehåller nya fel.. Koden för VHDL-modulerna finns på kursen hemsida. Nämn någon väsentlig fördel med seriell överföring jämfört med parallell: Vad kan det finnas för nackdel med en seriell dataöverföring? Uppgift: Undersök VHDL-modulerna separat och verifiera att de har rätt funktion d.v.s. att de gör samma sak som de gamla kretsarna i kopplingen. Funktionen för kretsarna i kopplingen är: SRG8 är 8 bitars skiftregister. Om SH/LD är skiftas innehållet neråt i figuren. Om SH/LD är 0 skiftas 8 data bitar in parallellt. I det högra registret är OE aktiverad och data finns tillgängligt på de kombinerade in/utgångarna. CTR är en räknare som räknar 8,9,,5,8, När räknaren har värdet 5 sätts utgången CT=5 till. LS377 består av 8 D-vippor som är klockade med en gemensam klocksignal. Klockning kan enbart ske om enable-ingången G är aktiverad Redovisa med rättad kod och simulering som visar att modulerna är riktiga. Frivillig uppgift: Testa funktionen på dataöverföringen och rätta det ursprungliga felet. /Räknaren LS6 används som en modulo-8 räknare för att ge ramsynkroniseringspulser till sändare och mottagare så att dessa vet när hela dataordet om 8 bitar har blivit överfört. Då alla åtta bitarna har mottagits i det mottagande skiftregistret skall byten läsas över till utgångsdataregistret LS377 som fryser datat tills en ny byte har överförts. Överföringen upprepas cykliskt varför seriekanalen utnyttjas till 00%./ Lab pls

10 In +5V CL +5V 0V 0V A B C D E F G H LS3 SRG8 OE SH/LD RE R SE DS D0 D +5V CL 0V +5V 0V 0V LS3 SRG8 OE SH/LD RE R SE DS D0 D SH/LD CL +5V 0V +5V LD ENT ENP R LS6 CTR CT= CL LS377 C G D D D D D D D D A' B' C' D' E' F' G' H' Notera att LS377 har en enable -ingång som måste vara aktiverad för att klocksignalen skall ha någon verkan på registret. CL SH/LD Seriekanal B A H G F E D C B A H G F Lab pls

11 Filer till Seriell 8-bitars dataöverföring library IEEE; use IEEE.STD_LOGIC_6.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ctr_wrong is port(clk,resetn: in std_logic; ct5n:out std_logic); end ctr_wrong; architecture Behavioral of ctr_wrong is signal count_b: std_logic_vector(3 downto 0); process (clk,resetn) if resetn='0' then count_b <= "000"; elsif clk'event and clk='' then if count_b = "" then ct5n<='0'; count_b <= "000"; else ct5n<=''; count_b <= count_b + ; end Behavioral; library IEEE; use IEEE.STD_LOGIC_6.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity LS377_right is Port (clk,gn,resetn: in std_logic; d_in: in std_logic_vector(7 downto 0); d_out: out std_logic_vector(7 downto 0)); end LS377_right; architecture Behavioral of LS377_right is process (clk,resetn) if resetn='0' then d_out <= (others=>'0'); elsif clk'event and clk='' then if gn='0' then d_out<=d_in; end Behavioral; Lab pls

12 library IEEE; use IEEE.STD_LOGIC_6.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity SRG8_left_wrong is Port (d_in: in std_logic_vector(7 downto 0); shift_loadn, clk, resetn: in std_logic; d_ut: out std_logic); end SRG8_left_wrong; architecture Behavioral of SRG8_left_wrong is signal d_reg: std_logic_vector(7 downto 0); process (clk,resetn) if resetn='0' then d_reg<=" "; d_ut<=d_reg(7); elsif clk'event and clk='' then if shift_loadn='0' then d_reg<=d_in; d_ut<=d_reg(7); else d_reg(7 downto )<= d_reg(6 downto 0); d_reg(0)<='0'; d_ut<=d_reg(7); end Behavioral; library IEEE; use IEEE.STD_LOGIC_6.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity srg8_right_maybe_wrong is Port (d_in, clk,resetn: in std_logic; d_ut: out std_logic_vector(7 downto 0) ); end srg8_right_maybe_wrong; architecture Behavioral of srg8_right_maybe_wrong is signal d_reg: std_logic_vector(7 downto 0); process (clk,resetn) if resetn='0' then d_reg<=" "; elsif clk'event and clk='' then d_reg(0)<= d_in; d_reg(7 downto ) <= d_reg(6 downto 0); d_ut<= d_reg; end Behavioral; Lab - - pls

13 library IEEE; use IEEE.STD_LOGIC_6.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity clock_divider is port(clk_in,resetn: in std_logic; en_div_clk: out std_logic); end clock_divider; architecture rtl of clock_divider is signal cnt_b: std_logic_vector(9 downto 0); process(clk_in,resetn) if resetn='0' then cnt_b<= " "; elsif clk_in='' and clk_in'event then cnt_b<= cnt_b +; if cnt_b="" then en_div_clk<=''; else en_div_clk<='0'; end rtl; library IEEE; use IEEE.STD_LOGIC_6.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity cnt_bcd is port( clk, resetn, cnt_en: in std_logic; cnt: out std_logic_vector(3 downto 0)); end cnt_bcd; architecture rtl of cnt_bcd is signal cnt_b: std_logic_vector(3 downto 0); process(clk,resetn) if resetn='0' then cnt_b<= "0000"; elsif clk='' and clk'event then if cnt_en='' then if cnt_b="00" then cnt_b<= "0000"; else cnt_b<= cnt_b +; cnt<= cnt_b; end rtl; Lab - - pls

LABORATIONSINSTRUKTION LABORATION

LABORATIONSINSTRUKTION LABORATION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Sekvensnät med VHDL KURS Digitalteknik LAB NR Ver9 5 INNEHÅLL. Kaskadkopplad räknare 2. Elektronisk tärning NAMN KOMMENTARER PROGRAM/KURS

Läs mer

VHDL och laborationer i digitalteknik

VHDL och laborationer i digitalteknik V:1.1 VHDL och laborationer i digitalteknik Vid laborationskursen i digitalteknik används VHDL till alla laborationerna utom den första. VHDL är ett stort språk och enbart en liten del av språket behövs

Läs mer

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik Programmerbara kretsar och VHDL 2 Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik 2 Dagens föreläsning Programmerbara kretsar igen Mer om processer Egna typer Använda

Läs mer

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg/Dan Weinehall/ Håkan Joëlson 2010-05-06 v 1.7 ELEKTRONIK Digitalteknik Laboration D184 Sekvensnät beskrivna med VHDL och realiserade

Läs mer

DESIGN AV SEKVENTIELL LOGIK

DESIGN AV SEKVENTIELL LOGIK DESIGN AV SEKVENTIELL LOGIK Innehåll Timing i synkrona nätverk Synkrona processer i VHDL VHDL-kod som introducerar vippor (flip-flops) och latchar Initiering av register Mealy- och Moore-maskiner i VHDL

Läs mer

VHDL 1. Programmerbara kretsar

VHDL 1. Programmerbara kretsar VHDL 1 Programmerbara kretsar CPLD FPGA VHDL Kombinatorik with-select-when when-else Sekvensnät process case if-then-else Programmerbara kretsar PLD = programmable logic device CPLD = complex PLD, i princip

Läs mer

std_logic & std_logic_vector

std_logic & std_logic_vector VHDL VHDL - Very high speed integrated circuit Hardware Description Language VHDL är ett komplext språk, avsett för att beskriva digitala system på olika abstraktionsnivåer (beteende- och strukturmässigt).

Läs mer

KALKYLATOR LABORATION4. Laborationens syfte

KALKYLATOR LABORATION4. Laborationens syfte LABORATION4 KALKYLATOR Laborationens syfte I denna laboration ska en enkel kalkylator konstrueras med hjälp av VHDL och utvecklingsverktyget Vivado från Xilinx. Hårdvaran realiseras på det redan bekanta

Läs mer

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs: UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg/Håkan Joëlson 2001-03-01 v 1.5 ELEKTRONIK Digitalteknik Laboration D159 Sekvensnät beskrivna med VHDL och realiserade med PLD

Läs mer

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktionen beskriver grunderna för att använda programvaran Xilinx ISE WebPack 6.2.03 tillsammans med en CPLD (Complex Programmable Logic

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2018 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D TSEA51 Digitalteknik Y TSEA52 Digitalteknik

Läs mer

PARALLELL OCH SEKVENTIELL DATABEHANDLING. Innehåll

PARALLELL OCH SEKVENTIELL DATABEHANDLING. Innehåll PARALLELL OCH SEKVENTIELL DATABEHANDLING Innehåll Parallellism i VHDL Delta delays och Simuleringstid VHDLs simuleringscykel Aktivering av Processer Parallella och sekventiella uttryck 1 Controller PARALLELLISM

Läs mer

Konstruktionsmetodik för sekvenskretsar

Konstruktionsmetodik för sekvenskretsar Konstruktionsmetodik för sekvenskretsar Digitalteknik Föreläsning 7 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Inför laboration 2 Synkronisering av insignaler Asynkrona ingångar

Läs mer

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov)

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov) 7HQWDPHQL.XQGDQSDVVDGHNUHWVDUI U(P Datum: 991012 Tid: 8.00-13.00 Lokal: E138 Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov) Vid eventuella frågor

Läs mer

Digitalteknik, fortsättningskurs Föreläsning VHDL Very High Speed Integrated Circuit Hardware Description Language

Digitalteknik, fortsättningskurs Föreläsning VHDL Very High Speed Integrated Circuit Hardware Description Language 1 Digitalteknik, fortsättningskurs Föreläsning 2-2012 VHDL Very High Speed Integrated Circuit Hardware Description Language VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Läs mer

Konstruktion av digitala system - VHDL

Konstruktion av digitala system - VHDL Konstruktion av digitala system - VHDL Digitalteknik - Föreläsning 10 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Programmerbara kretsar Kombinationskretsar i VHDL with-select-when,

Läs mer

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design onsdagen den 5/ Tentamen i IE1204/5 Digital Design onsdagen den 5/6 2013 9.00-13.00 Tentamensfrågor med lösningsförslag Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista

Läs mer

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson 2003-09-15 v 2.1 DIGITALTEKNIK Laboration D163 Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner

Läs mer

Digital elektronik CL0090

Digital elektronik CL0090 Digital elektronik CL9 Föreläsning 5 27-2-2 8.5 2. Naxos Demonstration av uartus programvara. Genomgång av uartus flödesschema. Detta dokument finns på kurshemsidan. http://www.idt.mdh.se/kurser/cl9/ VHDL-kod

Läs mer

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design onsdagen den 5/ Tentamen i IE1204/5 Digital Design onsdagen den 5/6 2013 9.00-13.00 Allmän information Exaator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista IE1204) Tentamensuppgifterna behöver

Läs mer

DIGITALTEKNIK. Laboration D172

DIGITALTEKNIK. Laboration D172 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson 2006-02-24 v 1.2 DIGITALTEKNIK Laboration D172 Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner

Läs mer

Sekvensnät i VHDL del 2

Sekvensnät i VHDL del 2 Laboration 6 i digitala system ht-16 Sekvensnät i VHDL del 2 Realisering av Mealy och Moore i VHDL............................. Namn............................. Godkänd (datum/sign.) 2 Laborationens syfte

Läs mer

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse GRUNDER I VHDL Innehåll Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse KOMPONENTMODELL Modell för att beskriva komponenter Externt interface Intern funktion

Läs mer

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare Sekvensiella System a(t) f(a(t)) Ett sekvensiellt system har ett inbyggt minne - utsignalen beror därför BÅDE av insignalens NUVARANDE

Läs mer

Programmerbara kretsar och VHDL. Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik 3 Dagens föreläsning Programmerbara kretsar Kombinationskretsar i VHDL with-select-when,

Läs mer

Design av mindre digitala system. Föreläsning Digitalteknik, TSEA52 Mattias Krysander Institutionen för systemteknik

Design av mindre digitala system. Föreläsning Digitalteknik, TSEA52 Mattias Krysander Institutionen för systemteknik Design av mindre digitala system Föreläsning Digitalteknik, TSEA52 Mattias Krysander Institutionen för systemteknik 2 Dagens föreläsning Kursinformation för HT2. Digitaltekniska byggblock Introduktion

Läs mer

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning... UMEÅ UNIVERSITET Tillämpad fysik och elektronik 2014 John Berge et al. DIGITAL ELEKTRONIK Laboration DE3 VHDL 1 Namn... Personnummer... Epost-adress... Datum för inlämning... Introduktion Syftet med denna

Läs mer

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1.

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg 2005-01-01 ver 1.0 Grundläggande kunskaper om Strukturell VHDL och TESTBÄDD Innehållsförteckning. sid Strukturbeskrivning 2 Digitalkonstruktionen

Läs mer

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2 2016 LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y Konstruktion av sekvenskretsar med CPLD Version: 2.2 2014 (OVA, MK) 2015 (OVA, MK) 2016 (OVA, MK) Olov Andersson 1(11) 1. Inledning Syftet

Läs mer

Angående buffer. clk clear >=1 =9?

Angående buffer. clk clear >=1 =9? 10.VHDL3 Repetition buffer, record, loop kombinaoriska processer Varning latchar, hasard CPU-embryo VHDL-kod för mikromaskin med hämtfas Minnen i FGPA Distributed RAM (LUT) Block-RAM 1 Angående buffer

Läs mer

VHDL2. Sekvensnätsexemplet

VHDL2. Sekvensnätsexemplet VHDL2 Moder portsatsen Datatyper Ett exempel, stegmotorstyrning Labben Hierarkisk konstruktion, instantiering Kombinatorisk process Record, loop Sekvensnätsexemplet 1(0) 1(0) 1(1) 00 0(0) 01 11 10 1(1)

Läs mer

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna EDA321 Digitalteknik-syntes D2 och E3 GU DIT795 Tentamen (EDA321-0205) Fredag den 13 januari 2012, fm i M-salarna Examinator Arne Linde, tel. 772 1683 Tillåtna hjälpmedel Inga hjälpmedel tillåtna. Detta

Läs mer

Tentamen i IE1204/5 Digital Design måndagen den 15/

Tentamen i IE1204/5 Digital Design måndagen den 15/ Tentamen i IE1204/5 Digital Design måndagen den 15/10 2012 9.00-13.00 Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista IE1204), Tentamensuppgifterna

Läs mer

VHDL2. Sekvensnätsexemplet

VHDL2. Sekvensnätsexemplet VHDL2 Moder portsatsen Datatyper Ett exempel, stegmotorstyrning Labben Hierarkisk konstruktion, instantiering Kombinatorisk process Record, loop Sekvensnätsexemplet 1(0) 1(0) 1(1) 00 0(0) 01 11 10 1(1)

Läs mer

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Kursinformation VHDL-delen Lektion 7 : Datorlektion i VHDL+Xilinx [pdf] Lab 3 : Programmerbara

Läs mer

VHDL testbänk. Mall-programmets funktion. Låset öppnas när tangenten 1 trycks ned och sedan släpps. William Sandqvist

VHDL testbänk. Mall-programmets funktion. Låset öppnas när tangenten 1 trycks ned och sedan släpps. William Sandqvist VHDL testbänk Mall-programmets funktion Låset öppnas när tangenten 1 trycks ned och sedan släpps. Keypad och Statecounter Bra val av datatyper gör koden självförklarande! K: in std_logic_vector(1 to 3);

Läs mer

Programmerbara kretsar och VHDL 1. Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL 1. Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL 1 Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik 3 Dagens föreläsning Programmerbara kretsar Kombinationskretsar

Läs mer

Tentamen i Digitalteknik, EITF65

Tentamen i Digitalteknik, EITF65 Elektro- och informationsteknik Tentamen i Digitalteknik, EITF65 3 januari 2018, kl. 14-19 Skriv anonymkod och identifierare, eller personnummer, på alla papper. Börja en ny uppgift på ett nytt papper.

Läs mer

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll:

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll: F: Minneselement Innehåll: - Latchar - Flip-Flops - egister - Läs- och skrivminne (andom-access Memory AM) - Läsminne (ead Only Memory OM) Ett minneselements egenskaper Generellt sett så kan följande operationer

Läs mer

Sekvensnät. William Sandqvist

Sekvensnät. William Sandqvist Sekvensnät Om en och samma insignal kan ge upphov till olika utsignal, är logiknätet ett sekvensnät. Det måste då ha ett inre minne som gör att utsignalen påverkas av både nuvarande och föregående insignaler!

Läs mer

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Kursinformation Lektion 7 : Datorlektion i Modelsim+VHDL Lab 3 : Programmerbara kretsar och

Läs mer

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik Konstruktionsmetodik för sekvenskretsar Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik 2 Dagens föreläsning Initiering av starttillstånd Programmerbar logik Syntesflödet

Läs mer

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik EDA 321 Digitalteknik syntes 2011-2012 Laboration 2 - VHDL 1. Enkelt sekvensnät 2. Trafikräknare i VHDL 3. Syntes av VHDL-kod Namn

Läs mer

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I. Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.. Uttryckt i decimal form: A=28+32+8 + 2 =70 B=59 C=7 A+B+C=246 2. Jag låter A' betyda "icke A" A'B'C'D'+ABC'D'+A'BCD'+AB'CD'=D'(A'(B'C'+BC)+A(BC'+B'C))=

Läs mer

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM Innehåll Designflöde Översikt av integrerade kretsar Motivation Hardware Description Language CAD-verktyg 1 DESIGNFLÖDE FÖR DIGITALA

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2010-08-27 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

Vad är en UART? Universal Asynchronous Receiver Transmitter parallella seriella parallell åttabitars signal mest signifikant bit

Vad är en UART? Universal Asynchronous Receiver Transmitter parallella seriella parallell åttabitars signal mest signifikant bit Vad är en UART? Beteckningen UART är en förkortning för det engelska uttrycket Universal Asynchronous Receiver Transmitter, vilket översatt till svenska blir ungefär Universell Asynkron Mottagare/Sändare.

Läs mer

KOMBINATORISKA FUNKTIONER...1

KOMBINATORISKA FUNKTIONER...1 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg Håkan Joëlson 2002-10-10 v 1.4 Elektronik DIGITALTEKNIK Grunderna i VHDL Innehåll Inledning...1 KOMBINATORISKA FUNKTIONER...1

Läs mer

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1 Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1 Från Wikipedia: Sekvensnät Ett sekvensnäts utgångsvärde beror inte bara på indata, utan även i vilken ordning datan kommer (dess sekvens).

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2011-08-26 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna hjälpmedel

Läs mer

Programmerbar logik och VHDL. Föreläsning 4

Programmerbar logik och VHDL. Föreläsning 4 Programmerbar logik och VHDL Föreläsning 4 Förra gången Strukturell VHDL Simulering med ISim Strukturell VHDL Simulering test_bench specificerar stimuli Simulatorn övervakar alla signaler, virtuell logik-analysator

Läs mer

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson, John Berge 203 DIGITALTEKNIK I Laboration DE2 Sekvensnät och sekvenskretsar Namn... Personnummer... Epost-adress... Datum för

Läs mer

Minneselement,. Styrteknik grundkurs. Digitala kursmoment. SR-latch med logiska grindar. Funktionstabell för SR-latchen R S Q Q ?

Minneselement,. Styrteknik grundkurs. Digitala kursmoment. SR-latch med logiska grindar. Funktionstabell för SR-latchen R S Q Q ? Styrteknik grundkurs Digitala kursmoment Binära tal, talsystem och koder Boolesk Algebra Grundläggande logiska grindar Minneselement, register, enkla räknare Analog/digital omvandling SR-latch med logiska

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2017 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D Linköpings universitet SE-581 83

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Institutionen för Elektroteknik LABORATION LABORATIONSINSTRUKTION LOG/iC, PLD, kombinatorik, sekvensnät KURS Digitalteknik LAB NR 6 INNEHÅLL. Inledning 2. Prioritetskodare 3. Elektronisk

Läs mer

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler,

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler, 9.17 Vi översätter beskrivningen till ett flödesdiagram, Figur E9.17a -c -c z=1 E A z=1 E A z=0 z=0 z=0 D z=0 D Figur E9.17a Flödesdiagram B z=0 B z=0 C z=0 C z=0 som vi i sin tur översätter till en flödestabell,

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2008-08-29 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Johan Eriksson Tel 070 589 7911 Tillåtna

Läs mer

Digital- och datorteknik, , Per Larsson-Edefors Sida 1

Digital- och datorteknik, , Per Larsson-Edefors Sida 1 Digitala it elektroniksystem t Professor Per Larsson-Edefors perla@chalmers.se Digital- och datorteknik, 101122, Per Larsson-Edefors Sida 1 Introduktion Konstruktionsalternativ Kretskort med diskreta standardkomponenter.

Läs mer

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Institutionen för elektro- och informationsteknik Campus Helsingborg, LTH 2018-01-09 8.00-13.00 (förlängd 14.00) Uppgifterna i tentamen

Läs mer

F5 Introduktion till digitalteknik

F5 Introduktion till digitalteknik Exklusiv eller XOR F5 Introduktion till digitalteknik EDAA05 Roger Henriksson Jonas Wisbrant På övning 2 stötte ni på uttrycket x = (a b) ( a b) som kan utläsas antingen a eller b, men inte både a och

Läs mer

Tentamen i Digital Design

Tentamen i Digital Design Kungliga Tekniska Högskolan Tentamen i Digital Design Kursnummer : Kursansvarig: 2B56 :e fo ingenjör Lars Hellberg tel 79 7795 Datum: 27-5-25 Tid: Kl 4. - 9. Tentamen rättad 27-6-5 Klagotiden utgår: 27-6-29

Läs mer

Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1

Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1 Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1 Digitala kursmoment D1 Binära tal, talsystem och koder D2 Boolesk Algebra D3 Grundläggande logiska grindar D4 Minneselement, register,

Läs mer

IE1204/IE1205 Digital Design

IE1204/IE1205 Digital Design TENTAMEN IE1204/IE1205 Digital Design 2012-12-13, 09.00-13.00 Inga hjälpmedel är tillåtna! Hjälpmedel Tentamen består av tre delar med sammanlagd tolv uppgifter, och totalt 30 poäng. Del A1 (Analys) innehåller

Läs mer

LABORATION DATORKONSTRUKTION TSEA83 UART. Namn och personnummer. Version: 1.0 2013 (OS)

LABORATION DATORKONSTRUKTION TSEA83 UART. Namn och personnummer. Version: 1.0 2013 (OS) LABORATION DATORKONSTRUKTION TSEA83 UART Version: 1.0 2013 (OS) Namn och personnummer Godkänd 1 blank sida 2 Innehåll 1 Inledning 5 1.1 Syfte................................. 5 1.2 Förberedelser............................

Läs mer

Tentamen i Digitalteknik 5p

Tentamen i Digitalteknik 5p Dan Weinehall Håkan Joëlson 007-0-09 ELEA5 Tentamen i Digitalteknik 5p Datum: 007-0-09 Tid: 09:00-5:00 Sal: Hjälpmedel: VHDL-kompendierna: Grunderna i VHDL, Strukturell VHDL och testbädd Labinstruktioner

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit

Digitalteknik: CoolRunner-II CPLD Starter Kit CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna i digitalteknik kommer kortet att användas

Läs mer

Styrteknik: MELSEC FX och numeriska värden

Styrteknik: MELSEC FX och numeriska värden PLC2C:1 MELSEC FX I kursen styrteknik används styrsystemet FX1S som är ett litet system i MELSEC FX-serien. Vår version av FX1S har endast digitala in- och utgångar men oftast finns det både digitala och

Läs mer

Tentamen i IE1204/5 Digital Design Torsdag 29/

Tentamen i IE1204/5 Digital Design Torsdag 29/ Tentamen i IE1204/5 Digital Design Torsdag 29/10 2015 9.00-13.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2009-08-28 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

LiTH Lab1: Asynkron seriell dataöverföring via optisk länk Laboration 1. Asynkron seriell dataöverföring via optisk länk

LiTH Lab1: Asynkron seriell dataöverföring via optisk länk Laboration 1. Asynkron seriell dataöverföring via optisk länk Lab: 2007-09-06 Laboration Asynkron seriell dataöverföring via optisk länk Kravspecifikation Lennart Bengtsson Version.4 Granskad Godkänd Status Lennart Bengtsson Sida PROJEKTIDENTITET Laborationsgrupp,

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2009-06-04 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

Introduktion till syntesverktyget Altera Max+PlusII

Introduktion till syntesverktyget Altera Max+PlusII Lunds Universitet LTH Ingenjörshögskolan Ida, IEA Helsingborg Laboration nr 5 i digitala system, ht-12 Introduktion till syntesverktyget Altera Max+PlusII Beskrivning i VHDL och realisering av några enkla

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION PLC-styrning av ett minimalt parkeringsgarage KURS El- och styrteknik för tekniker ET 1015 INNEHÅLL LAB NR 4 Ver 1.0 1. Inledning 2. Laborationskortet

Läs mer

Flödesschema som visar hur man använder Quartus II.

Flödesschema som visar hur man använder Quartus II. Flödesschema som visar hur man använder Quartus II. För att det skall bli lite enklare använder jag följande exempel: ut1

Läs mer

IE1205 Digital Design: F9: Synkrona tillståndsautomater

IE1205 Digital Design: F9: Synkrona tillståndsautomater IE25 Digital Design: F9: Synkrona tillståndsautomater Moore och Mealy automater F8 introducerade vippor och vi konstruerade räknare, skift-register etc. F9-F skall vi titta på hur generella tillståndsmaskiner

Läs mer

SEKVENSKRETSAR. Innehåll

SEKVENSKRETSAR. Innehåll SEKVENSKRETSAR Innehåll Synkrona sekvenskretsar Tillståndsdiagram / tillståndstabell Definition av Moore- och Mealy-maskiner Tillståndskodning Syntes av sekventiell logik Räknare SEKVENSKRETSAR EXEMPEL

Läs mer

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien DIGITAL- OCH MIKRODATORTEKNIK, U2 09.00 13.00 Tillåtna hjälpmedel: Instruktionslista PIC16F877A Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien Fullständiga lösningar skall inlämnas.

Läs mer

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp Institutionen för elektro- och informationsteknik Campus Helsingborg, LTH 2016-12-22 8.00-13.00 Uppgifterna i tentamen ger totalt 60

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012 Med kommentarer för kursen ht 2012 2012 CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna

Läs mer

LEJON LABORATION3. Laborationens syfte

LEJON LABORATION3. Laborationens syfte LABORATION3 LEJON Laborationens syfte Syftet med laborationen är dels att lära känna laborationsutrustningen och dels att få en uppfattning om hur en digital konstruktion är uppbyggd, i detta fallet med

Läs mer

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl Tentamen TSEA22 Digitalteknik 5 juni, 2015, kl. 08.00-12.00 Tillåtna hjälpmedel: Inga. Ansvarig lärare: Mattias Krysander Visning av skrivningen sker mellan 10.00-10.30 den 22 juni på Datorteknik. Totalt

Läs mer

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Christer Ardlin/Lars Wållberg/ Dan Weinehall/Håkan Joëlson 2008-01-24 v 2.1 ELEKTRONIK Digitalteknik Laboration D181 Kombinatoriska kretsar,

Läs mer

Struktur: Elektroteknik A. Digitalteknik 3p, vt 01. F1: Introduktion. Motivation och målsättning för kurserna i digital elektronik

Struktur: Elektroteknik A. Digitalteknik 3p, vt 01. F1: Introduktion. Motivation och målsättning för kurserna i digital elektronik Digitalteknik 3p, vt 01 Struktur: Elektroteknik A Kurslitteratur: "A First Course in Digital Systems Design - An Integrated Approach" Antal föreläsningar: 11 (2h) Antal laborationer: 4 (4h) Examinationsform:

Läs mer

TSIU05 Digitalteknik. LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System

TSIU05 Digitalteknik. LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System 1 TSIU05 Digitalteknik LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System Sammanställning september 2013 Läs detta först Läs igenom hela laborationen så du vet vad du skall göra på laborationspasset. Hela

Läs mer

Labb i Datorsystemteknik och programvaruteknik Programmering av kalkylator i Visual Basic

Labb i Datorsystemteknik och programvaruteknik Programmering av kalkylator i Visual Basic Labb i Datorsystemteknik och programvaruteknik Programmering av kalkylator i Visual Basic Inledning Starta Microsoft Visual Studio 2005. Välj create Project Välj VB + Vindows Application och välj ett nytt

Läs mer

VHDL3. Angående buffer

VHDL3. Angående buffer VHDL3 Repetition buffer, record, loop kombinaoriska processer Varning latchar, hasard uprogcpu VHDL-kod för mikromaskin med hämtfas Minnen i FGPA Distributed RAM (LUT) Block-RAM 3-portars registerfil pipecpu

Läs mer

Exempel på ett litet Ada-program

Exempel på ett litet Ada-program Exempel på ett litet Ada-program -- En kommentar som beskriver något. with Ada.Text_IO; procedure Mini is -- Deklarationer. K : constant Integer := 5; X, Y : Integer; -- Körbar kod. Ada.Text_IO.Put( Utskrift

Läs mer

Laborationshandledning

Laborationshandledning Laborationshandledning Utbildning: ED Ämne: TNGE11 Digitalteknik Laborationens nummer och titel: Nr 5 Del A: Schmittrigger Del B: Analys av sekvensnät Laborant: E-mail: Medlaboranters namn: Handledarens

Läs mer

Digitalteknik F12. Några speciella automater: register räknare Synkronisering av insignaler. Digitalteknik F12 bild 1

Digitalteknik F12. Några speciella automater: register räknare Synkronisering av insignaler. Digitalteknik F12 bild 1 igitalteknik F2 Några speciella automater: register räknare Synkronisering av insignaler igitalteknik F2 bild Register Ett register är en degenererad automat som i allt väsentligt används för att lagra

Läs mer

Sekvensnät vippor, register och bussar

Sekvensnät vippor, register och bussar ekvensnät vippor, register och bussar agens föreläsning: Lärobok kap.5 Arbetsbok kap 8,9,10 Ur innehållet: Hur fungerar en -latch? Hur konstrueras JK-, - och T-vippor? er och excitationstabeller egister

Läs mer

TSEA22 Digitalteknik 2019!

TSEA22 Digitalteknik 2019! 1(43) 2019 Mattias Krysander Ingemar Ragnemalm 1(43) Föreläsning 7. Sekv3. enna föreläsning: Lösningar närmare verkligheten Synkronisering Enpulsare Problem till design 2(43)2(43) Förra föreläsningen:

Läs mer

Tentamen IE Digital Design Måndag 23/

Tentamen IE Digital Design Måndag 23/ Tentamen IE104-5 Digital Design Måndag 3/10 017 14.00-18.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist

Läs mer

Laboration i digitalteknik Introduktion till digitalteknik

Laboration i digitalteknik Introduktion till digitalteknik Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 6 Laboration i digitalteknik Introduktion till digitalteknik TSEA Digitalteknik D TSEA5 Digitalteknik Y TDDC75

Läs mer

Repetition TSIU05 Digitalteknik Di/EL. Michael Josefsson

Repetition TSIU05 Digitalteknik Di/EL. Michael Josefsson Repetition TSIU05 Digitalteknik Di/EL Michael Josefsson Här kommer några frågeställningar och uppgifter du kan använda för att använda som egenkontroll på om du förstått huvudinnehållet i respektive föreläsning.

Läs mer

Digitalteknik syntes Arne Linde 2012

Digitalteknik syntes Arne Linde 2012 Digitalteknik, fortsättningskurs Föreläsning 3 Kombinatoriska nät 202 VHDL repetition + Strukturell VHDL Lite repetition + Karnaughdiagram(4-6var), flera utgångar + Quine-McCluskey + intro tid 2 Entity

Läs mer

Laborationshandledning

Laborationshandledning Laborationshandledning Utbildning: ED Ämne: TNE094 Digitalteknik och konstruktion Laborationens nummer och titel: Nr 5 Del A: Schmittrigger Del B: Analys av sekvensnät Laborant: E-mail: Medlaboranters

Läs mer

Tentamen i Digitalteknik, EIT020

Tentamen i Digitalteknik, EIT020 Elektro- och informationsteknik Tentamen i Digitalteknik, EIT020 4 april 2013, kl 14-19 Skriv namn och årskurs på alla papper. Börja en ny lösning på ett nytt papper. Använd bara en sida av pappret. Lösningarna

Läs mer

DESIGN AV KOMBINATORISK LOGIK

DESIGN AV KOMBINATORISK LOGIK DESIGN AV KOMBINATORISK LOGIK Innehåll Fördröjninar i kombinatorisk loik Byblock för kombinatorisk loik Multilexer / De-multilexer Kodare / Avkodare Aritmetiska Funktioner GATE-DELAYS Gate-delay är tiden

Läs mer

TSEA22 Digitalteknik 2019!

TSEA22 Digitalteknik 2019! 1(39) 2019 Mattias Krysander Ingemar Ragnemalm 1(39) Föreläsning 5. Sekv1. enna föreläsning: Vippor Sekvensnät Moore och Mealy 2(39)2(39) Förra föreläsningen: Labb 1. Adderare. Carryaccelerator Och ännu

Läs mer

Låskretsar och Vippor

Låskretsar och Vippor Låskretsar och Vippor Låskretsar (latch) och vippor (flip-flop) är kretsar med minnesfunktion. De ingår i datorns minnen och i processorns register. SR-låskretsen är i princip datorns minnescell Q=1 Q=0

Läs mer