LOGIKSTYRNING/18/2: Laboration. 1 Uppgiften. Figur 1: Tågbanan

Storlek: px
Starta visningen från sidan:

Download "LOGIKSTYRNING/18/2: Laboration. 1 Uppgiften. Figur 1: Tågbanan"

Transkript

1 LOGIKSTYRNING/18/2: Laboration Figur 1: Tågbanan 1 Uppgiften En programmerbar logik (PLC) skall programmeras för ett transportör-problem med en transportör (tåg) och ett antal stationer (se figur 1). Vid varje station finns en ljussensor och tåget styrs genom två signaler: KÖR och BYT RIKTNING. Det finns åtta versioner av uppgiften, givna i tabell 1. Tåget skall köra en bestämd rutt (t.ex. A B C( A o.s.v.) i version 1) och stanna vid varje station i 2 s. Förutom dessa tre stationer finns det en fjärde station (station D i version 1), som tåget skall köra till efter en knapptryckning (exempelvis knapp D). Tåget skall sedan vänta vid denna specialstation tills användaren trycker på en startknapp. Efter det skall tåget först köra till station A och sedan börja köra sin normala rutt. Dessutom skall lampan i specialknappen tändas då användaren trycker på knappen och den skall lysa ända tills tåget når specialstationen. Praktiskt tips: Hantering av specialknappen görs enklast genom att definiera ett skilt tillstånd som kommer ihåg att vi har tryckt på knappen. Detta tillstånd behöver inte komma med i tillståndsgrafen, utan det hanteras som en insignal, i stället för knappens insignal. Man kan och får då skjuta upp hanteringen av specialknappen tills det är mest 1

2 Version Sekvens Specialstation 1 A B C D 2 A C B D 3 A B D C 4 A D B C 5 A B E D 6 A E B D 7 A B D E 8 A D B E Tabell 1: Versionerna ändamålsenligt och enkelt att hantera, man måste inte genast rusa till specialstationen (utan att bry sig om passagerarna/godset som man för tillfället transporterar). Bonus: De som vill ha extra utmaning kan låta systemet kontrollera om spåret mellan stationerna B och D är blockerat (d.v.s. ljussensor 7 ger signal) innan tåget kör den vägen. Ifall spåret är blockerat skall tåget köra via station A. Uppgiften utförs i grupper av 1-2 personer. För att göra arbetet smidigare är labbuppgiften uppdelad i en teoretisk och en praktisk del. Gruppen lämnar in en gemensam lösning till den teoretiska delen. När denna har godkänts av assistenten får gruppen börja med den praktiska delen, d.v.s. att programmera PLCn. Assistenten ger vid behov närmare anvisningar. Individuella labbrapporter fordras efter slutförd laboration. Labbrapporten skall innehålla en utförlig beskrivning av programmets funktion, inklusive det som behandlats i den teoretiska delen med ändringar och tillägg. Teoretisk del: a. Planera ett styrsystem för processen. Konstruera en tillståndsgraf och en primitiv tillståndstabell från vilken alla omöjliga insignalkombinationer har lämnats bort. Reducera tillståndstabellen om det är möjligt. b. Bestäm de booleska uttryck som beskriver systemet. c. Konstruera ett kontaktdiagram för systemet. Praktisk del: d. Programmera PLCn vid Laboratoriet för reglerteknik enligt den erhållna tillståndsgrafen. Då programmet fungerar enligt de uppställda specifikationerna demonstreras programmets funktion för assistenten. 2

3 2 Översikt av en programmerbar logik-enhet Laboratoriet för reglerteknik har en SLC 500 programmerbar logik av märket Allen- Bradley. Den har en SLC 5/03 OS 302 processormodul med 12 kb programminne (totalt 16 kb), en digital inputmodul med 16 ingångar, en digital outputmodul med 16 utgångar, två analoga inputmoduler med 4 ingångar var och en analog outputmodul med 4 utgångar. I denna tillämpning används endast de digitala modulerna. Minnet i SLC 5/03 har delats in i ett antal minnesregister för olika ändamål, t.ex. B3: (bit data), T4: (timer), C5: (counter), I: (input), O: (output). En digital input-enhet i PLCn fungerar så att den lagrar en bit (0/1) i minnet beroende på om en input-kanal är utsatt för en bestämd spänning (10 V) eller inte. Bitregistret B3: är indelat i 256 stycken 16 bitars ord. De enskilda bitarnas adresser ges enligt formen B3:o/b, där o anger ordets nummer (0 till 255) och b bitens nummer (0 till 15). PLCn är kopplad till en PC, som används för programmering. PLCn har tre lägen: PROGram, RUN och REMote. I Remote-läget kan PLCns operation styras från PCn, och man kan också följa med programmets exekvering i realtid från PCn. I detta arrangemang finns det 12 insignaler till PLCn och 11 utsignaler till tåget, växlarna och lamporna i knapparna. PLCn har 7 stycken modulportar, av vilka port 0 alltid är reserverad åt processormodulen. Outputmodulen är i detta fall satt i modulport 1 och inputmodulen i port 2. Intresserade kan hitta mera information på slcsystem. Transformatorn som styr tåget är kopplad till PLCn via två releär, en för att köra / stanna och en för att ge riktningsbytessignal till tåget. Tåget byter riktning genom att ge en åtminstone 200 ms lång signal till O:1/2, och under den tiden kommer tåget även att stanna. Om båda reläerna är på, kommer BYT RIKTNING att vara signalen som vinner. Minnesadresser för in- och utsignalerna finns i tabellerna 2 och 3. Insignal Ljussensor 1 Ljussensor 2 Ljussensor 3 Ljussensor 4 Ljussensor 5 Ljussensor 6 Ljussensor 7 Knapp A Knapp B Knapp C Knapp D Knapp E Adress I:2/1 I:2/2 I:2/3 I:2/4 I:2/5 I:2/6 I:2/7 I:2/11 I:2/12 I:2/13 I:2/14 I:2/15 Tabell 2: Insignalerna 3

4 Utsignal Adress Värden Kör O:1/1 0 = Stå 1 = Kör Byt riktning O:1/2 1 = Ge riktningsbytessignal a Ljus i knapp A O:1/4 0 = Av 1 = På Ljus i knapp B O:1/5 0 = Av 1 = På Ljus i knapp C O:1/6 0 = Av 1 = På Ljus i knapp D O:1/7 0 = Av 1 = På Ljus i knapp E O:1/8 0 = Av 1 = På Växel 1 O:1/11 0 = Rakt 1 = Åt sidan Växel 2 O:1/12 0 = Rakt 1 = Åt sidan Växel 3 O:1/13 0 = Rakt 1 = Åt sidan Växel 4 O:1/14 0 = Rakt 1 = Åt sidan a Måste vara åtminstone 200 ms lång, helst längre. Tabell 3: Utsignalerna 3 Kort presentation av programmeringsspråket Den ovan beskrivna PLCn programmeras med hjälp av programmeringsverktyget RSLogix 500 för Windows. Programmet matas in som ett kontaktdiagram (e. ladder diagram). Ett kontaktdiagram är ett slags grafiskt programmeringsspråk med ett bibliotek av färdiga logiska rutiner, matematiska funktioner, samt input- och output-instruktioner. De logiska villkoren med vilka programmets funktionssätt beskrivs matas in i form av ett antal förgrenade vågräta linjer ( stegpinnar, e. rung) med de behövliga instruktionerna. Programmet har ett grafiskt användargränssnitt och normala editeringsfunktioner som Copy/Paste och drag-and-drop. I det följande genomgås endast de viktigaste instruktionerna som kan användas vid sekvensstyrningsproblemets lösning. Mera information om instruktionerna finns i manualen och online-hjälpen till RSLogix 500. Examine if Closed (XIC) Examine if Open (XIO) XIC-instruktionen undersöker om biten i argumentadressen är 1. XIC sätter utgången till 1 om villkoret är sant, och till 0 om villkoret är falskt. XIO-instruktionen undersöker om biten i argumentadressen är 0. XIO sätter utgången till 1 om villkoret är sant, och till 0 om villkoret är falskt. Less Than (LES) LES-instruktionen jämför de två aritmetiska värdena (A och B) i adresserna, som ges som dess input-argument. LES sätter utgången till 1 om villkoret A < B är sant, och till 0 om villkoret är falskt. 4

5 One-Shot Rising (OSR) Output Energize (OTE) Instruktionerna efter OSR-instruktionen exekveras en gång när instruktionerna före OSR går från falskt till sant. Kräver en unik minnesbit som argument. OTE är en output-instruktion som sätter biten i argumentadressen till 1 om input-värdet till modulen är 1. OTE sätter argumentadressen till 0 om input-värdet till modulen är 0. Output Latch (OTL) OTL-instruktionen motsvarar kontaktdiagrammets SET-funktion. OTL sätter biten i argumentadressen till 1 om input-värdet till modulen är 1, men i motsats till OTE sätter OTL inte argumentadressen till 0 om input-värdet till modulen blir 0. Output Unlatch (OTU) OTU-instruktionen motsvarar kontaktdiagrammets RESET-funktion. OTU sätter biten i argumentadressen till 0 om input-värdet till modulen är 1. En RS-vippa åstadkoms genom att låta en OTL och en OTU operera på samma bit. Timer On-Delay (TON) TON-instruktionen sätter Timer-Done-utgången (DN) till 1 efter att den har väntat en bestämd tid (PRE). Timern startar räknandet då input-värdet till modulen övergår från 0 till 1 och räknandet upphör om räknarens accumulerade värde (ACC) är lika med den totala väntetiden (PRE) eller om input-värdet till TON-modulen övergår till 0. Timer-Done blir noll efter att timerns ingång blir låg. Timer-Timing-biten (TT) är 1 alltid när TON räknar och inte har räknat upp till den totala väntetiden. Timer-Enable-biten (EN) är 1 alltid när TON räknar (oberoende om räknaren har räknat upp till totala väntetiden). Alla timer-funktionerna använder speciella register i minnet (t.ex. T4:0) för att lagra argument och räknarens mellanresultat. De enskilda bitarnas adresser är av formen T4:0/DN, T4:0/EN och T4:0/TT. 4 Anvisningar för programmering i praktiken 4.1 Att skapa ett nytt projekt: 1. Klicka på ikonen RSLogix Välj New från File-menyn. 3. Programmet frågar nu vilken typ av PLC du tänker programmera, som alltså var SLC 5/03 OS

6 4. Tre fönster hoppar fram. Det vänstra visar projektträdet och det högra visar kontaktdiagrammet. Ovanför diagramfönstret finns en meny med alla instruktionsmodulerna. 5. Dubbelklicka på I/O Configuration i projektträdet och tryck på Read I/O Config så att PCn får veta vilka moduler PLCn har. 6. Placera ut symbolerna i diagramfönstret. 7. Välj Comms > Download från menyn eller klicka uppe till vänster där det står Offline. Ifall det finns fel i programmet öppnas ett nytt fönster som anger var felet finns och felets natur. Kill the bugs och tryck igen på Comms > Download. När programmet frågar Change to program mode?, svara Yes. När programmet frågar Change to run mode?, svara Yes. När programmet frågar Do you want to go online?, svara Yes. 8. PLCn börjar nu exekvera programmet. Registrenas värden kan observeras och vid behov modifieras från projektträdet. När du vill göra ändringar i programmet kan du göra det antingen i online-mode eller i offline-mode. För offline-editering, välj Comms > Offline. När du gjort ändringarna måste du ladda ner programmet på nytt. I online-mode kan du ändra på en koppling genom att välja Edit > Start Rung Edits. När editeringen är färdig välj Edit > Accept Rung. Kopplingen testas med Test Edits och läggs till med Assemble Edits. Kommandona är också tillgängliga genom ett höger-klick. 4.2 Några råd Win95 är mycket instabilt, så Save early, save often. Det lönar sig att hela tiden ha PLCns nyckel i REMote-positionen, så att man inte behöver vrida på nyckeln när man skriver och testar programmet. För att underlätta programmeringen kan man namnge de olika minnesplatserna. När du har ett instruktion med en adress kan du helt enkelt aktivera instruktionen (genom att klicka på den) och skriva in namnet som du vill att adressen skall ha. I fortsättningen behöver du bara skriva namnet och programmet sätter in adressen automatiskt. Namn/address-referenser kan också modifieras i Address/Symbolfönstret som kan öppnas från projektträdet. Man kan också sätta in kommentarer i kontaktdiagrammet, vilket gör det mer lättläst. I kontaktdiagrammet måste alla Output Latch-instruktioner komma efter varandra i en klunga, därefter alla Output Unlatch-instruktioner. Orsaken till detta är att det annars finns en risk för att det sker en övergång från det nuvarande tillståndet innan 6

7 en övergång till följande tillstånd. Resultatet är att systemet plötsligt inte befinner sig i något tillstånd alls. Det har då och då förekommit ett sådant fel i systemet, att Märklin-transformatorn inte ger tillräckligt med spänning för BYT RIKTNING-signalen, och att tåget istället för att byta riktning kör framåt hårdare är någonsin. Om sådant händer, lönar det sig att stänga av hela PLCn och vänta en stund. Orsaken till detta är okänd. Stäng av systemet (PLC och de två transformatorerna) när du slutar jobba genom att vrida på brytaren brevid PLCn. Viktigt: Eftersom systemet inte från början vet i vilken riktning tåget kommer att köra, behövs ett initialiseringstillstånd. Detta kan åstadkommas t.ex. genom att låta tåget köra ända tills det kommer till en ändstation. Då vet man både var tåget befinner sig, och vilken riktning den för tillfället kör. 7

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler Exempeluppgift i Logikstyrning Inledning Idén med detta papper är att ge en allmän beskrivning av labbutrustningen och tips för hur man kan lösa olika praktiska problem i samband med laborationen. Läs

Läs mer

Lathund - Konfiguration av PLC och dator

Lathund - Konfiguration av PLC och dator Lathund - Konfiguration av PLC och dator Johan Dahlin och Ylva Jung 17 januari 2012 1 Introduktion Detta dokument innehåller information om konfigurationen av PLC:n och tillhörande dator för laborationerna

Läs mer

Programmerbar logik. Kapitel 4

Programmerbar logik. Kapitel 4 Kapitel 4 Programmerbar logik Programmerbar logik (PLC: Programmable Logic Controller; fi. ohjelmoitava logiikka) är en sorts mikrodatorliknande instrument som är speciellt avsedda för logik- och sekvensstyrningsproblem.

Läs mer

Grunderna i stegkodsprogrammering

Grunderna i stegkodsprogrammering Kapitel 1 Grunderna i stegkodsprogrammering Följande bilaga innehåller grunderna i stegkodsprogrammering i den form som används under kursen. Vi kommer att kort diskutera olika datatyper, villkor, operationer

Läs mer

Programmera Avant 5 med PC mjukvara

Programmera Avant 5 med PC mjukvara Programmera Avant 5 med PC mjukvara Installera mjukvaran på din PC Sätt i CD-skivan i PC:n. Kör filen setup.exe på CDskivan så startar installationen. Följ instruktionerna tills installationen är klar.

Läs mer

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 - Inbyggda system - Analog till digital signal - Utvecklingssystem, målsystem - Labutrustningen - Uppbyggnad av mikrokontroller - Masinkod, assemblerkod

Läs mer

Ladderprogrammering steg för steg

Ladderprogrammering steg för steg Ladderprogrammering steg för steg En introduktion till LD-programmering för kursen MIE 012 Elektroteknikens Grunder vid LTH. Gunnar Lindstedt Introduktion Den dominerande typen av styrsystem för binära

Läs mer

Industriella styrsystem, TSIU06. Föreläsning 2

Industriella styrsystem, TSIU06. Föreläsning 2 Industriella styrsystem, TSIU06 Föreläsning 2 Reglerteknik, ISY, Linköpings Universitet Sammanfattning av Föreläsning 1 2(24) Det finns en stor mängd system och processer som behöver styras. Återkopplingsprincipen:

Läs mer

Styrteknik : Programmering med IEC 61131-3. Styrteknik

Styrteknik : Programmering med IEC 61131-3. Styrteknik PLC1B:1 Styrteknik Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner PLC1B:2 PLC står för Programmable Logical Controller Kom

Läs mer

Automation Laboration: Överföring över PROFIBUS

Automation Laboration: Överföring över PROFIBUS Automation Laboration: Överföring över PROFIBUS Inledning Sedan slutet av 80-talet har kommunikationssystemet PROFIBUS utvecklats och ökat i användning inom industrin. PROFIBUS utgör ett exempel på ett

Läs mer

Programmerbara styrsystem

Programmerbara styrsystem Styrteknik ETB016 Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner Programmerbara styrsystem PLC står för Programmable Logical

Läs mer

Labb i Datorsystemteknik och programvaruteknik Programmering av kalkylator i Visual Basic

Labb i Datorsystemteknik och programvaruteknik Programmering av kalkylator i Visual Basic Labb i Datorsystemteknik och programvaruteknik Programmering av kalkylator i Visual Basic Inledning Starta Microsoft Visual Studio 2005. Välj create Project Välj VB + Vindows Application och välj ett nytt

Läs mer

Ladderprogrammering steg för steg

Ladderprogrammering steg för steg Ladderprogrammering steg för steg En introduktion till LD-programmering för kursen EIEF35 Elektroteknikens Grunder vid LTH. Gunnar Lindstedt Introduktion Den dominerande typen av styrsystem för binära

Läs mer

Styrteknik: MELSEC FX och numeriska värden

Styrteknik: MELSEC FX och numeriska värden PLC2C:1 MELSEC FX I kursen styrteknik används styrsystemet FX1S som är ett litet system i MELSEC FX-serien. Vår version av FX1S har endast digitala in- och utgångar men oftast finns det både digitala och

Läs mer

Sekvensstyrning Grafcet och IEC

Sekvensstyrning Grafcet och IEC Sekvensstyrning Grafcet och IEC 61131-3 Indtroduktion GRAFCET Tekniken grundades i Frankrike på 1970-talet och ligger till grund för ett standardiserat programspråk i enlighet med standard IEC 61131-3.

Läs mer

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1 Styrteknik Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner

Läs mer

GPIO - General Purpose Input Output

GPIO - General Purpose Input Output GPIO - General Purpose Input Output Ur innehållet: Ideala och verkliga signaler Bitvis in- och utmatning Anslutning - fysiskt gränssnitt F407 - GPIO-modul tillämpningar Läsanvisningar: Arbetsbok avsnitt

Läs mer

Hjälp och tips till RSLogix och InTouch

Hjälp och tips till RSLogix och InTouch Hjälp och tips till RSLogix och InTouch 30 oktober 2015 RSLogix I RSLogix sköts stegkodsprogrammeringen (ladder diagrams). Här följer några råd och tips kring utvecklingen, överföringen och exekveringen

Läs mer

ATU. Användarmanual. Larmöverföringsenhet Firmware 2.9.4. Version 2014.58-003

ATU. Användarmanual. Larmöverföringsenhet Firmware 2.9.4. Version 2014.58-003 ATU Larmöverföringsenhet Firmware 2.9.4 Användarmanual Version 2014.58-003 Läs igenom hela användarhandledningen innan produkten används! Viktigt :ATU använder radiosignaler för att kommunicera och är

Läs mer

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Institutionen för elektro- och informationsteknik Campus Helsingborg, LTH 2018-01-09 8.00-13.00 (förlängd 14.00) Uppgifterna i tentamen

Läs mer

+5V. start. Styrsystem. stopp. Tillståndsmaskiner

+5V. start. Styrsystem. stopp. Tillståndsmaskiner Tillståndsmaskiner Beteendet hos en stor klass av tekniska system kan beskrivas, modelleras, med tillståndsmaskiner. En tillståndsmaskin är en sekvens av tillstånd som beror av händelser och som ger olika

Läs mer

OMRON. PLC till PLC kommunikation (CP1L-E) Ethernet. 22 april 2014 OMRON Corporation

OMRON. PLC till PLC kommunikation (CP1L-E) Ethernet. 22 april 2014 OMRON Corporation Ethernet 22 april 2014 OMRON Corporation 2/16 Läs detta innan du bläddrar vidare OMRON Denna bok är avsedd som ett tillägg till de ursprungliga manualerna för Omrons produkter. Använd den som en hjälp

Läs mer

Flödesschema som visar hur man använder Quartus II.

Flödesschema som visar hur man använder Quartus II. Flödesschema som visar hur man använder Quartus II. För att det skall bli lite enklare använder jag följande exempel: ut1

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #9 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola ekvensnät Vad kännetecknar ett sekvensnät? I ett sekvensnät

Läs mer

BICT:01 BICT. sv-se. Användarinstruktion Gäller från BICT 2.24. Utgåva 5. Scania CV AB 2015, Sweden

BICT:01 BICT. sv-se. Användarinstruktion Gäller från BICT 2.24. Utgåva 5. Scania CV AB 2015, Sweden BICT:01 Utgåva 5 sv-se BICT Användarinstruktion Gäller från BICT 2.24 339 837 Scania CV AB 2015, Sweden Introduktion 3 Om BICT 3 Inställningar 4 Översikt 5 Beskrivning av termer 6 Grafiska symboler i programmet

Läs mer

SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR

SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR 2 Projekt mikrobasic PRO for AVR organiserar applikationer som projekt vilka består av en enda projektfil (med filändelsen.mbpav) och en eller flera

Läs mer

+5V. start. Styrsystem. stopp. Tillståndsmaskiner

+5V. start. Styrsystem. stopp. Tillståndsmaskiner Tillståndsmaskiner Beteendet hos en stor klass av tekniska system kan beskrivas, modelleras, med tillståndsmaskiner. En tillståndsmaskin är en sekvens av tillstånd som beror av händelser och som ger olika

Läs mer

WAGO IO System Service Seminar. Diagnostik

WAGO IO System Service Seminar. Diagnostik WAGO IO System Service Seminar Diagnostik 1 Dioder på Controller Link 1/2 Ethernet Länk status Av - ingen ethernet anslutning grön - Ethernet anslutning blinkande grön - Ethernet anslutning skickar / tar

Läs mer

KOM IGÅNG GUIDE e!cockpit Av Carsten Holm

KOM IGÅNG GUIDE e!cockpit Av Carsten Holm KOM IGÅNG GUIDE e!cockpit Av Carsten Holm Support.se@wago.com AGENDA 1. LICENSHANTERING 2. AVSCANNING AV HÅRDVARAN 3. SKAPA I/O VARIABLER 4. BIBLIOTEKSHANTERING 5. ANVÄNDNING AV VARIABLER 6. FÖRSTA PROGRAMMET

Läs mer

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs: UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg/Håkan Joëlson 2001-03-01 v 1.5 ELEKTRONIK Digitalteknik Laboration D159 Sekvensnät beskrivna med VHDL och realiserade med PLD

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #13 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Vad kännetecknar en tillståndsmaskin? En synkron tillståndsmaskin

Läs mer

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15.

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15. Aktivera Kursens mål: LV3 Fo7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

M7005 och IBR Användarhandbok

M7005 och IBR Användarhandbok 181101/190313/190521/SJn M7005 och IBR Användarhandbok M7005 och IBR - Användarhandbok OBSERVERA Informationen i detta dokument kan ändras utan föregående meddelande. Innehållet är icke bindande. Matematikföretaget

Läs mer

Modbus. WAGO Contact SA TSS STR

Modbus. WAGO Contact SA TSS STR Modbus WAGO Contact SA TSS STR 2011 1 Modbus över Ethernet En enorm mängd produkter stöder modbus. Modbus kallas ibland för automationens minsta gemensamma nämnare. Kanske är det för att modbus är relativt

Läs mer

Innehåll i detta dokument

Innehåll i detta dokument Läs igenom hela dokumentet innan du startar. Kopiera över allt på CD-skivan till din hårddisk. Din dator kommer behöva startas om en gång vid installationen av CodeSys. Du måste ha rättigheter att installera

Läs mer

Sortering av cylindrar

Sortering av cylindrar Automationsteknik Sortering av cylindrar 1(8) Sortering av cylindrar I denna laboration ska ett antal aluminiumcylindrar sorteras med hjälp av ett sorteringsverk som styrs av en Siemens SIMATIC S7-1200

Läs mer

Legorobot. Lär dig programmera en legorobot. Teknikåttan 2009. Ola Ringdahl Lena Kallin Westin

Legorobot. Lär dig programmera en legorobot. Teknikåttan 2009. Ola Ringdahl Lena Kallin Westin Legorobot Lär dig programmera en legorobot. Teknikåttan 2009 Ola Ringdahl Lena Kallin Westin Legorobot Sid 2 (6) Legorobot Sid 3 (6) LEGOROBOT Syfte Syftet med denna praktiska uppgift är att man ska få

Läs mer

USB styrt DMX gränssnitt

USB styrt DMX gränssnitt USB styrt DMX gränssnitt Inledning...2 DMX bibliotek...3 Programmering av kanalerna...7 Skapa en show...11 Inledning DMX LightPlayer är mycket enkel att använda. Inför en existerande fixtur eller skapa

Läs mer

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning... UMEÅ UNIVERSITET Tillämpad fysik och elektronik 2014 John Berge et al. DIGITAL ELEKTRONIK Laboration DE3 VHDL 1 Namn... Personnummer... Epost-adress... Datum för inlämning... Introduktion Syftet med denna

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Trafikljus med SFC-programmering KURS El- och styrteknik för tekniker ET1015 INNEHÅLL LAB NR PLC 5 Ver 1.0 1. Inledning 2. Laborationskortet

Läs mer

Minneselement,. Styrteknik grundkurs. Digitala kursmoment. SR-latch med logiska grindar. Funktionstabell för SR-latchen R S Q Q ?

Minneselement,. Styrteknik grundkurs. Digitala kursmoment. SR-latch med logiska grindar. Funktionstabell för SR-latchen R S Q Q ? Styrteknik grundkurs Digitala kursmoment Binära tal, talsystem och koder Boolesk Algebra Grundläggande logiska grindar Minneselement, register, enkla räknare Analog/digital omvandling SR-latch med logiska

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION KURS ET1001 Styrteknik LAB NR PLC 5 INNEHÅLL 1. Inledning 2. Laborationskortet i styrteknik 3. Laborationsuppgifter NAMN KOMMENTARER PROGRAM/KURS

Läs mer

SEKVENSKRETSAR. Innehåll

SEKVENSKRETSAR. Innehåll SEKVENSKRETSAR Innehåll Synkrona sekvenskretsar Tillståndsdiagram / tillståndstabell Definition av Moore- och Mealy-maskiner Tillståndskodning Syntes av sekventiell logik Räknare SEKVENSKRETSAR EXEMPEL

Läs mer

Manual för banläggning i OCAD8 170706 IF ÅLAND

Manual för banläggning i OCAD8 170706 IF ÅLAND Manual för banläggning i OCAD8 170706 IF ÅLAND Alla filer och program vi behöver finns under katalogen c:/ocad8/. Kartorna vi använder som bakgrundsfiler finns under c:/ocad8/kartor/. De är sedan indelade

Läs mer

Lösningar till tentamen i EIT070 Datorteknik

Lösningar till tentamen i EIT070 Datorteknik Lösningar till tentamen i EIT070 Datorteknik Institutionen för Elektro- och informationsteknik, LTH Torsdagen den 13 mars 2014, klockan 14:00 19:00 i MA:10. Tillåtna hjälpmedel: på tentan utdelad formelsamling,

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION PLC-styrning av ett minimalt parkeringsgarage KURS El- och styrteknik för tekniker ET 1015 INNEHÅLL LAB NR 4 Ver 1.0 1. Inledning 2. Laborationskortet

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012 Med kommentarer för kursen ht 2012 2012 CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna

Läs mer

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien DIGITAL- OCH MIKRODATORTEKNIK, U2 09.00 13.00 Tillåtna hjälpmedel: Instruktionslista PIC16F877A Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien Fullständiga lösningar skall inlämnas.

Läs mer

Föreläsning 2. Operativsystem och programmering

Föreläsning 2. Operativsystem och programmering Föreläsning 2 Operativsystem och programmering Behov av operativsystem En dator så som beskriven i förra föreläsningen är nästan oanvändbar. Processorn kan bara ges enkla instruktioner såsom hämta data

Läs mer

Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1

Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1 Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1 Digitala kursmoment D1 Binära tal, talsystem och koder D2 Boolesk Algebra D3 Grundläggande logiska grindar D4 Minneselement, register,

Läs mer

Introduktion till xdigiflex-simulatorn

Introduktion till xdigiflex-simulatorn Introduktion till xdigiflex-simulatorn Installera simulatorprogrammet xdigiflex om detta inte är gjort tidigare. (Det finns en länk till ett installationsprogram på kurshemsidan.) Starta sedan xdigiflex!

Läs mer

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll:

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll: F: Minneselement Innehåll: - Latchar - Flip-Flops - egister - Läs- och skrivminne (andom-access Memory AM) - Läsminne (ead Only Memory OM) Ett minneselements egenskaper Generellt sett så kan följande operationer

Läs mer

F5 Introduktion till digitalteknik

F5 Introduktion till digitalteknik Exklusiv eller XOR F5 Introduktion till digitalteknik EDAA05 Roger Henriksson Jonas Wisbrant På övning 2 stötte ni på uttrycket x = (a b) ( a b) som kan utläsas antingen a eller b, men inte både a och

Läs mer

Modbus över Ethernet. WAGO Contact SA TSS STR 2011 1

Modbus över Ethernet. WAGO Contact SA TSS STR 2011 1 Modbus över Ethernet WAGO Contact SA TSS STR 2011 1 Modbus över Ethernet En enorm mängd produkter stöder modbus. Modbus kallas ibland för automationens minsta gemensamma nämnare. Kanske är det för att

Läs mer

PROGRAMMERING AV MCU LABORATION6. Laborationens syfte

PROGRAMMERING AV MCU LABORATION6. Laborationens syfte PROGRAMMERING AV MCU LABORATION6 Laborationens syfte I denna laboration ska MCUn som konstruerades i laboration 5 programmeras. Instruktionerna som vi har tillgång till är de som implementerades i instruktionsavkodaren

Läs mer

Enchipsdatorer med tillämpningar LABORATION 7, ROBOT

Enchipsdatorer med tillämpningar LABORATION 7, ROBOT Enchipsdatorer med tillämpningar LABORATION 7, ROBOT Laborationsansvariga: Anders Arvidsson Utskriftsdatum: 2005-05-14 Laboranter: 1 Syfte Denna laboration syftar till att introducera interrupt och watchdog

Läs mer

SB168-ES och LS9 Quick Setup Guide Svensk version

SB168-ES och LS9 Quick Setup Guide Svensk version SB168-ES och LS9 Quick Setup Guide Svensk version February, 2009 SB168-ES och LS9 Quick Setup Guide Om den här guiden. Den här guiden innefattar information om snabb och enkel konfigurering av 32 inputs

Läs mer

Introduktion till syntesverktyget Altera Max+PlusII

Introduktion till syntesverktyget Altera Max+PlusII Lunds Universitet LTH Ingenjörshögskolan Ida, IEA Helsingborg Laboration nr 5 i digitala system, ht-12 Introduktion till syntesverktyget Altera Max+PlusII Beskrivning i VHDL och realisering av några enkla

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Institutionen för Elektroteknik LABORATION LABORATIONSINSTRUKTION LOG/iC, PLD, kombinatorik, sekvensnät KURS Digitalteknik LAB NR 6 INNEHÅLL. Inledning 2. Prioritetskodare 3. Elektronisk

Läs mer

Översikt Banstyrning v. 0.5 Sida 1 av 6

Översikt Banstyrning v. 0.5 Sida 1 av 6 Översikt Banstyrning v. 0.5 Sida 1 av 6 Innehåll 1 Tågens styrning... 1 2 Banans styrning... 1 2.1 Dekodrar och nätverk... 2 2.2 Meddelanden... 3 2.3 Inställningar svårt?... 4 3 Men att använda DCC till

Läs mer

LABORATIONER I STYRTEKNIK. Grundläggande PLC- programmering

LABORATIONER I STYRTEKNIK. Grundläggande PLC- programmering 1 LABORATIONER I STYRTEKNIK LABORATION : Grundläggande PLC- programmering 2 Övning 1. Rita ur reläschemat nedan ett ladderschema i GX IEC Developer. Kör programmet och prova funktionen med hjälp av ingångssimulatorn.

Läs mer

GX IEC Developer Sekvensstyrning och SFC-editor

GX IEC Developer Sekvensstyrning och SFC-editor GX IEC Developer Sekvensstyrning och SFC-editor 1 Vad är en sekvens? STEG0 START STEG1 STEG2 STEG3 UTMATARE SENS_UTMAT UTMATARE SENS_UTMAT KORG_NER SENS_VÅN1 Ett sekvensprogram används i PLC-systemet när

Läs mer

Safe Logic Compact. Konfigurering av Rexroth säkerhets PLC. Snabbguide Svenska

Safe Logic Compact. Konfigurering av Rexroth säkerhets PLC. Snabbguide Svenska Safe Logic Compact Konfigurering av Rexroth säkerhets PLC Snabbguide 05.2013 Svenska The data specified only serve to describe the product. No statements concerning a certain condition or suitability for

Läs mer

Installationsanvisning för Su Officemallar 2007 För PC Word och PowerPoint

Installationsanvisning för Su Officemallar 2007 För PC Word och PowerPoint 1 (13) INSTALLATIONSANVISNING MS Office 2007 - Windows 2011-07-06 Installationsanvisning för Su Officemallar 2007 För PC Word och PowerPoint Innehållsförteckning Var hittar jag Su Officemallar?... 2 Är

Läs mer

Manual för Autostart Speed Control

Manual för Autostart Speed Control Manual för Autostart Speed Control Utrustningen startas genom att man dra ut den röda knappen på instrumentpanelen märkt med Autostart. Utrustningen startar i läget klart för att köra ett startförlopp,

Läs mer

Programutveckling med Java Development Kit. (JDK 1.1.x) och Programmers File Editor (PFE 7.02)

Programutveckling med Java Development Kit. (JDK 1.1.x) och Programmers File Editor (PFE 7.02) UMEÅ UNIVERSITET Institutionen för datavetenskap Thomas Johansson Oktober 1998 Programutveckling med Java Development Kit (JDK 1.1.x) och Programmers File Editor (PFE 7.02) Umeå universitet 901 87 Umeå.

Läs mer

Styrteknik: E-Designer och E-1000

Styrteknik: E-Designer och E-1000 PLC8B:1 E-Designer 7.40, Introduktion Grunderna Blockhantering Olika Objekt Trender-Dataloggning Alarmhantering Recept Bilder 2008-05-02 PLC8B:2 Nedanstående program skall sparas och exekveras i PLC:n.

Läs mer

Antares Användning och installation

Antares Användning och installation Antares Användning och installation Sidan 1 av 13 Innehåll 1. Introduktion...... 2. Antares programvara installation...... 3. Antares programvara uppdatering...... 4. Data Linker anslutning... 5. Funktioner...

Läs mer

Sekvensnät Som Du kommer ihåg

Sekvensnät Som Du kommer ihåg Sekvensnät Som Du kommer ihåg Designmetodik Grundläggande designmetodik för tillståndsmaskiner. 1. Analysera specifikationen för kretsen 2. Skapa tillståndsdiagram 3. Ställ upp tillståndstabellen 4. Minimera

Läs mer

EV3 Roboten. Sida 1 av 13

EV3 Roboten. Sida 1 av 13 EV3 Roboten Fyra output portar A,B,C och D(motorer) Fyra input portar 1,2,3 och 4 (sensorer) USB, Bluetooth, eller Wi-Fi koppling 16 MB flash minne 64 MB RAM SD Card Port: 32 GB Flera inbyggda verktyg

Läs mer

MaxxECU MDash Android App

MaxxECU MDash Android App MaxxECU MDash Android App 2015-04-27 Viktig information! (bör läsas innan installation) Maxxtuning AB - info@maxxtuning.se 1 - Förord MaxxECU MDash är en Android app som kommunicerar trådlöst via blåtand

Läs mer

Roboten. Sida 1 av 11

Roboten. Sida 1 av 11 EV3 ipad Roboten Fyra output portar A,B,C och D(motorer) Fyra input portar 1,2,3 och 4 (sensorer) USB, Bluetooth, eller Wi-Fi koppling 16 MB flash minne 64 MB RAM SD Card Port: 32 GB Flera inbyggda verktyg

Läs mer

Laboration 2 i Styrteknik

Laboration 2 i Styrteknik 070921/Thomas Munther Sektionen för Informationsvetenskap, Dator och Elektroteknik Laboration 2 i Styrteknik Anvisningar: för godkänd uppgift krävs en inlämnad programlistning av typen SFC där alla relevanta

Läs mer

Besvara de elektroniska frågorna (se kurshemsidan). Läs kapitel i kursbok.

Besvara de elektroniska frågorna (se kurshemsidan). Läs kapitel i kursbok. Namn: Laborationen godkänd: Laboration 3. Pipeline Laborationens syfte I laborationen ska du bekanta dig med pipelining. Genom laborationen fås kunskap om hur pipelines är konstruerade och hur de används.

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

Gränssnitt för FakeGranska. Lars Mattsson

Gränssnitt för FakeGranska. Lars Mattsson Gränssnitt för FakeGranska av Lars Mattsson (larsmatt@kth.se) Innehållsförteckning 1 Introduktion...3 2 Genomförande:...3 3 Användning...5 4 Kända buggar:...6 5 Källförteckning...6 2 1 Introduktion Taken

Läs mer

Tentamen i Digitalteknik, EITF65

Tentamen i Digitalteknik, EITF65 Elektro- och informationsteknik Tentamen i Digitalteknik, EITF65 3 januari 2018, kl. 14-19 Skriv anonymkod och identifierare, eller personnummer, på alla papper. Börja en ny uppgift på ett nytt papper.

Läs mer

OBS!!! Anslut ej USB kabeln till dator eller GPS innan du först har installerat drivrutinerna för USB kabeln i din dator.

OBS!!! Anslut ej USB kabeln till dator eller GPS innan du först har installerat drivrutinerna för USB kabeln i din dator. Navirad User Tool 3,7 Manual för GPS 1 Easy, GPS 2, GPS 4 samt Navirad Elite. Du kan ladda ner programmet från följande websida: Leta upp fliken Download och följ instruktionerna. http://www.tmobilteknik.com

Läs mer

IE1205 Digital Design: F9: Synkrona tillståndsautomater

IE1205 Digital Design: F9: Synkrona tillståndsautomater IE25 Digital Design: F9: Synkrona tillståndsautomater Moore och Mealy automater F8 introducerade vippor och vi konstruerade räknare, skift-register etc. F9-F skall vi titta på hur generella tillståndsmaskiner

Läs mer

Smart Rogic Manual. Robostick Manual

Smart Rogic Manual. Robostick Manual Smart Rogic Manual Robostick Manual Ladda ner Smart Rogic Programfönster Programmera 4 Kopiera / Klipp ut 5 Ta bort symbol 6 Ångra / Gör om 7 Funktion 8 Ta bort program 9 Anslut via Bluetooth 0 Överföra,

Läs mer

Välkommen till. Styrteknik grundkurs

Välkommen till. Styrteknik grundkurs Välkommen till Styrteknik grundkurs Allmänt om styrsystem (PLC) Ladder och Logik Grundinstruktioner Tidskretsar Räknare Minne SET- och RST-instruktioner Strukturering av program Sekvensprogrammering överkurs

Läs mer

ANVÄNDAR MANUAL. SESAM 800 RX MC Manager

ANVÄNDAR MANUAL. SESAM 800 RX MC Manager ANVÄNDAR MANUAL SESAM 800 RX MC Manager Åkerströms Björbo AB Box 7, SE-780 45 Gagnef, Sweden street Björbovägen 143 SE-785 45 Björbo, Sweden Phone +46 241 250 00 Fax +46 241 232 99 E-mail sales@akerstroms.com

Läs mer

Tentamen i TDP004 Objektorienterad Programmering Praktisk del

Tentamen i TDP004 Objektorienterad Programmering Praktisk del Tentamen i TDP004 Objektorienterad Programmering Praktisk del Datum: 2011-04-28 Tid: 08-12 Plats: SU-salar i B-huset. Jour: Per-Magnus Olsson, tel 281456 Jourhavande kommer att besöka skrivsalarna ungefär

Läs mer

Försättsblad till skriftlig tentamen vid Linköpings universitet

Försättsblad till skriftlig tentamen vid Linköpings universitet Försättsblad till skriftlig tentamen vid Linköpings universitet Datum för tentamen 08-03-3 Sal (5) Tid 8- Kurskod TSEA Provkod TEN Kursnamn/benämning Provnamn/benämning Institution Antal uppgifter som

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit

Digitalteknik: CoolRunner-II CPLD Starter Kit CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna i digitalteknik kommer kortet att användas

Läs mer

Industriella styrsystem, TSIU04. Föreläsning 1

Industriella styrsystem, TSIU04. Föreläsning 1 Industriella styrsystem, TSIU04 Föreläsning 1 Reglerteknik, ISY, Linköpings Universitet Mål Ge kunskaper och färdigheter om reglerteknik närmare verkligheten. Mera precist: Trimning av PID-regulatorer.

Läs mer

Ansvarig lärare: Olof Andersson, Telefon 021-101314 (besöker skrivsalen)

Ansvarig lärare: Olof Andersson, Telefon 021-101314 (besöker skrivsalen) MÄLRLENS HÖGSKOL Institutionen för elektroteknik Tentamen Mikrodatorteknik T3760 atum 2005-10-28 Tid 08.30 12.30 nsvarig lärare: Olof ndersson, Telefon 021-101314 (besöker skrivsalen) Om du klarat samtliga

Läs mer

Styrteknik: Grundläggande logiska funktioner D2:1

Styrteknik: Grundläggande logiska funktioner D2:1 Styrteknik: Grundläggande logiska funktioner D2:1 Digitala kursmoment D1 Boolesk algebra D2 Grundläggande logiska funktioner D3 Binära tal, talsystem och koder Styrteknik: Grundläggande logiska funktioner

Läs mer

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson 2003-09-15 v 2.1 DIGITALTEKNIK Laboration D163 Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner

Läs mer

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg/Dan Weinehall/ Håkan Joëlson 2010-05-06 v 1.7 ELEKTRONIK Digitalteknik Laboration D184 Sekvensnät beskrivna med VHDL och realiserade

Läs mer

Instruktion Programmeringsapp och gränssnitt

Instruktion Programmeringsapp och gränssnitt Instruktion BT och Programmerings-app v. 0. Sida 1 av 5 Instruktion Programmeringsapp och gränssnitt Observera, instruktionen bearbetas och uppdateras fortfarande. Kolla då och då www.mollehem.se/doc/instuktion/instruktion_programmering.pdf

Läs mer

Lego Robot [ ] [ ] [ ]

Lego Robot [ ] [ ] [ ] Lego Robot av [ ] [ ] [ ] INNEHÅLLSFÖRTECKNING LABB1 (INFORMATION) # UPPGIFT 1 # UPPGIFT 2 # UPPGIFT 3 # LABB2 (OPERATÖRER) # UPPGIFT 1 # UPPGIFT 2 # UPPGIFT 3 # LABB3 (VARIABLER) # UPPGIFT 1 # UPPGIFT

Läs mer

Laboration Fuzzy Logic

Laboration Fuzzy Logic BILAGA B Laboration Fuzzy Logic Lär dig simulera ett program! ABB INDUSTRIGYMNASIUM Fuzzy Logic Wikingsons Wåghalsiga Wargar Projekt ABB VT 2006 Västerås Innehåll 1 Introduktion... 3 2 Uppgiften... 3 2.1

Läs mer

Installation av fjärrströmbrytare HE842, HE843 och HE862(2).

Installation av fjärrströmbrytare HE842, HE843 och HE862(2). Manual 2 Med HE800 serien kan du enkelt och trådlöst tända och släcka lampor och styra andra elektroniska enheter. Sändarna kan styra enskilda samt grupper av brytare och dimmrar. Den trådlösa kommunikationen

Läs mer

PNSPO! Tips! CP1W-AD och DA. 14 mars 2012 OMRON Corporation

PNSPO! Tips! CP1W-AD och DA. 14 mars 2012 OMRON Corporation PNSPO! Tips! CP1W-AD och DA 14 mars 2012 OMRON Corporation 2/28 Läs detta innan du bläddrar vidare PNSPO! Denna bok är avsedd som ett tillägg till de ursprungliga manualerna för OMRONs produkter. Använd

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

Kodlås. Kopplingsschema över kodlåset PAL-18

Kodlås. Kopplingsschema över kodlåset PAL-18 Kodlås I den här uppgiften skall du konstruera ett kodlås med hjälp av ett litet tangentbord. Varje gång man trycker på en tangent skall det pipa i summern och när man tryckt in den rätta fyrsiffriga koden

Läs mer

Paneler - VCPXX.2. Programmeringsmanual för VCP-paneler. Revision 2

Paneler - VCPXX.2. Programmeringsmanual för VCP-paneler. Revision 2 Paneler - VCPXX.2 Programmeringsmanual för VCP-paneler Revision 2 Innehållsförteckning Innehållsförteckning... 2 1 Symbolfiler för kommunikation via IndraLogic... 3 2 Uppsättning i IndraWorks... 6 3 Programmering

Läs mer

Tentamen i Digitalteknik 5p

Tentamen i Digitalteknik 5p Dan Weinehall Håkan Joëlson 007-0-09 ELEA5 Tentamen i Digitalteknik 5p Datum: 007-0-09 Tid: 09:00-5:00 Sal: Hjälpmedel: VHDL-kompendierna: Grunderna i VHDL, Strukturell VHDL och testbädd Labinstruktioner

Läs mer

Industriella Styrsystem Övningskompendium

Industriella Styrsystem Övningskompendium Industriella Styrsystem Övningskompendium 27 mars 2012 REGLERTEKNIK AUTOMATIC CONTROL LINKÖPING Reglerteknik, Institutionen för systemteknik, Linköpings universitet, 581 83 Linköping www.control.isy.liu.se

Läs mer