Flödesschema som visar hur man använder Quartus II.

Storlek: px
Starta visningen från sidan:

Download "Flödesschema som visar hur man använder Quartus II."

Transkript

1 Flödesschema som visar hur man använder Quartus II. För att det skall bli lite enklare använder jag följande exempel: ut1 <= a xor b ut2 <= a xor b xor c Det är en två-ingångars XOR-grind, och en tre-ingångars XOR-grind. Börja med att skapa en tom katalog som skall innehålla hela projektet. Använd Din hemkatalog. ( Absolut inte C: på skolans datorer ). Här i exemplet heter katalogen VHDL9. Nedan visas olika beteckningar för: Fönsternamn, Rullgardinsmenyer och Knappar. Fönsternamn, ex.vis Quartus II File Save Visar menyer Knapp OK Starta Quartus II version 6.0 Quartus II File New Project Wizard 1. Hoppa över introduktionen. Klicka på 2. Arbetskatalog. Välj den katalog som Du skapat. ger tillgång till sökvägen. Ange namnet på projektet. Detta blir också namnet på Top-level design entity ( Jag kallar den test9 ) Viktigt. Quartus Flödesschema 1/8

2 3. Inga filer skall inkluderas i detta exempel. 4. Select the Family and Device Välj Cyclone och EP1C12Q240C Inga EDA Tools 7. Nu får Du en sammanfattning av Dina olika val. Finish Quartus II 8. Nu kommer Du tillbaka till Quartus II huvudmeny. File New Du får upp ett nytt fönster. Fönstrets namn är New. New Under fliken: Device Design Files Välj:VHDL File 9. Skriv in Din VHDL-kod. Entity-name måste vara det namn som Du valde i ruta 2. Det kan finnas flera entity, men en måste vara top-level. Nedanstående program använde jag vid provkörning. Quartus Flödesschema 2/8

3 -- My Documents\CL0090\VHDL9\test9.vhd -- Provkörning för flödesscheman. -- a SW3.1 FPGA ut1 D3 FPGA b SW3.2 FPGA ut2 D6 FPGA c SW3.3 FPGA Library ieee; Use ieee.std_logic_1164.all; -- Entity test9 is --detta namn måste stämma överens med "top-level-entity" port( a,b,c: in std_logic; ut1,ut2: out std_logic); end entity test9; Architecture komp of test9 is -- intern signal, används bara för information signal int: std_logic; begin int<= a xor b; ut1<= int; -- utan int ut1 <= a xor b; ut2<= int xor c; -- utan int ut2 <= a xor b xor c; end architecture komp; 10. Spara. Du får förslag på filnamn. test9 dvs. samma som entity-name Du får välja annat om Du vill. 11. Nu är det dags att kompilera. Processing Start Compilation Ctrl + L Eller Knapp Rätta fel. Spara. (Kompilera igen ) Fungerar? Ja Nej Fel anges i fönstret längst ner. Message Du får inf. om vilken rad och vad som kan vara orsak 12. Du får upp ett nytt fönster med Flow Summery Detta kan Du stänga. Quartus Flödesschema 3/8

4 13. Dags att välja portar för signalerna. Skriv in dessa till att börja med som kommentarer i VHDL-koden. 14 Dags att ange portarna. Öppna Pin Planner med Assignements Pin Planner Ctrl+ Shift + N eller med snabbknapp. 15. Nytt fönster igen. Pin Planner Längst ner finns en förteckning över alla portar. Nod Name Direction Location I/O Bank 16. För varje nod ( insignal, utsignal eller intern signal ), dubbel-klickar Du i fältet under Location. Du får upp en lista med alla tillgängliga portar. Välj det FPGA nr som Du bestämt. Det finns i Din fil test9.vhd. Detta fönster finns också öppet. 17. Kompilera en gång till. Processing Start Compilation Ctrl + L Du får en fråga. Save changes to test9 YES 18. Provkör eller simulera. Quartus Flödesschema 4/8

5 Först visas provkörning 19. I fönstret Quartus II väljer Du. Tools Programmer Detta ger fönstret test9.cdf 20. Där visas: File Device Checksum Usercode Program/Configure test9.sof EP1C12Q CA2 FFFFFFFF 21. Det finns två olika filer för programmering,.sof som lägger programmet i SRAM och en fil med tillägget.pof som lägger programmet i EEPROM. Använd SRAM!!! När Du kryssar i rutan under Program/Configure kommer hela raden att markeras. 22. Se till att utvecklingskortet är påslaget och att USB Blaster är kopplad mellan kortet och datorn. Att kortet är i drift visas genom att den gröna lysdioden vid FPGA-kretsen är tänd. 23. test9.cdf Start I detta fönster finns knappen Knappen finns alldeles till vänster om File. Under programmeringen av kortet kommer en blå lysdiod i USB Blaster att tändas. På kortet kommer den gröna lysdioden vid FPGA-kretsen att släckas. När programmeringen är klar tänds den gröna dioden igen. Nu kan Du kontrollera om programmet fungerar. 24. Provkör. Fungerar det inte som tänkt är det bara att ändra i VHDLkoden Kompilera och ladda ner programmet igen. Quartus Flödesschema 5/8

6 Simulering. 25. Har Du provkört programmet, så är det lämpligt att stänga alla fönster utom det som innehåller källkoden. ( Spara fönstret test9.vhd ). 26. Quartus II Processing Simulator Tool Nytt fönster igen. Se till att Du ser hela fönstret. 27. Simulator Tool I Simulation mode: väljer Du - Functional- Klicka på knappen till höger Generate Functional Simulation Sedan på OK och till sist på Du få upp ett nytt fönster. Open Waveform1.vwf 28. Fönstret Waveforn1.vwf är tomt. Dubbelklicka i det prickade Området under Name Name Value at ns 29. Nästa fönster heter Insert Node or Bus Klicka på knappen Node Finder Detta öppnar ett nytt fönster: 30. Node Finder Detta fönster tomt. Vid förstoringsglaset klickar Du på knappen List. Nu får Du en lista på alla noder i vänstra fönstret (Nodes Found:). För över de noder som är intressanta till högra fönstert. ( Selected Nodes: ) I det här fallet skall alla användas. OK Quartus Flödesschema 6/8

7 31. Du kommer tillbaka till fönstret Klicka OK Insert Node or Bus 32. Nu skall Du vara tillbaka i fönstret Waveform1.vwf Här finns nu alla signaler listade till vänster. Till höger det logiska värdet. Alla insignaler har det logiska värdet noll. Alla utsignaler markeras med ett rutmönster. Obestämt logiskt värde. 33. Nu skall Du definiera insignalerna. Detta görs med verktyget Detta finns i paletten till vänster. 34. Använd verktyget för att markera det område som skall vara ett för det olika insignalerna. 35. Spara. Du får ett förslag till filnamn. OK 36. Fönstret Simulator Tool finns fortfarande kvar i bakgrunden. Aktivera detta. Simulation input: Här måste Du ha sökväg och filnamn till filen enligt ruta 35 Sedan trycker Du på Knappen finns längst ner till vänster. Start 37. Aktivera fönstret test9.vwf ( Tidigare hette fönstret Waveform1.vwf ) Resultatet av simuleringen visas. Eventuellt får Du en fråga:. Do You want to reload? Svara Yes Nu uppdateras innehållet i fönstret test9.vwf Quartus Flödesschema 7/8

8 38. Nu kan Du kontrollera alla signaler. Vill Du ändra någon signal så görs detta med Spara och gå sedan till punkt Den här programkörningen har resulterat i ett stort antal filer. Kontrollera katalogen VHDL9. Där finns 20 filer och en underkatalog. Underkatalogen innehåller 43 filer. Skapa alltså en ny katalog för varje projekt Quartus Flödesschema 8/8

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktionen beskriver grunderna för att använda programvaran Xilinx ISE WebPack 6.2.03 tillsammans med en CPLD (Complex Programmable Logic

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2018 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D TSEA51 Digitalteknik Y TSEA52 Digitalteknik

Läs mer

Introduktion till syntesverktyget Altera Max+PlusII

Introduktion till syntesverktyget Altera Max+PlusII Lunds Universitet LTH Ingenjörshögskolan Ida, IEA Helsingborg Laboration nr 5 i digitala system, ht-12 Introduktion till syntesverktyget Altera Max+PlusII Beskrivning i VHDL och realisering av några enkla

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2017 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D Linköpings universitet SE-581 83

Läs mer

Digital elektronik CL0090

Digital elektronik CL0090 Digital elektronik CL9 Föreläsning 5 27-2-2 8.5 2. Naxos Demonstration av uartus programvara. Genomgång av uartus flödesschema. Detta dokument finns på kurshemsidan. http://www.idt.mdh.se/kurser/cl9/ VHDL-kod

Läs mer

SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR

SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR 2 Projekt mikrobasic PRO for AVR organiserar applikationer som projekt vilka består av en enda projektfil (med filändelsen.mbpav) och en eller flera

Läs mer

Det finns en handledning till kortet på hemsidan. AVR STK500.

Det finns en handledning till kortet på hemsidan. AVR STK500. Laboration 1 (ver 1) Uppgifter: AVR Studio 4.lnk Bli bekant med utvecklingskortet, och AVR studio. Skriva in program för binärräknare. Simulera detta samt ladda ner det till kortet. Förse ovanstående program

Läs mer

INTRODUKTION TILL VIVADO

INTRODUKTION TILL VIVADO INTRODUKTION TILL VIVADO LABORATION1 Under laborationerna kommer vi att konstruera/beskriva ett antal kretsar med hjälp av VHDL (Very high speed integrated circuit Hardware Description Language). För att

Läs mer

VHDL och laborationer i digitalteknik

VHDL och laborationer i digitalteknik V:1.1 VHDL och laborationer i digitalteknik Vid laborationskursen i digitalteknik används VHDL till alla laborationerna utom den första. VHDL är ett stort språk och enbart en liten del av språket behövs

Läs mer

Simulera med ModelSim

Simulera med ModelSim Simulera med ModelSim ModelSim - simuleringsprogramvara ModelSim kan användas till att simulera VHDL-kod, för att avgöra om den är "rätt" tänkt. Alteras version av ModelSim är också kopplad till en "databas"

Läs mer

Digital elektronik CL0090

Digital elektronik CL0090 Digital elektronik CL9 Föreläsning 3 27--29 8.5 2. My Talsystem Binära tal har basen 2 Exempel Det decimala talet 9 motsvarar 2 Den första ettan är MSB, Most Significant Bit, den andra ettan är LSB Least

Läs mer

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2 2016 LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y Konstruktion av sekvenskretsar med CPLD Version: 2.2 2014 (OVA, MK) 2015 (OVA, MK) 2016 (OVA, MK) Olov Andersson 1(11) 1. Inledning Syftet

Läs mer

AVR Studio 4 Och AVRFLASH

AVR Studio 4 Och AVRFLASH Komma igång med AVR Studio 4 Och AVRFLASH Kjell Fällman 2010 Rev: 1 Laboration 1 AVR Studio 4, WinAVR(AVR-GCC) och AVRFLASH AVR Studio 4, ATMELs IDE för 8bits AVR MCU http://www.atmel.com/dyn/products/tools_card.asp?tool_id=2725

Läs mer

Paneler - VCPXX.2. Programmeringsmanual för VCP-paneler. Revision 2

Paneler - VCPXX.2. Programmeringsmanual för VCP-paneler. Revision 2 Paneler - VCPXX.2 Programmeringsmanual för VCP-paneler Revision 2 Innehållsförteckning Innehållsförteckning... 2 1 Symbolfiler för kommunikation via IndraLogic... 3 2 Uppsättning i IndraWorks... 6 3 Programmering

Läs mer

INSTALLATION...3 ATT KOMMA IGÅNG...3 PROGRAMMETS DESIGN...4 LÄGGA TILL TABELL...4 EDITERA TABELL...4 EDITERA RELATION...5 SPARA OCH AVSLUTA...

INSTALLATION...3 ATT KOMMA IGÅNG...3 PROGRAMMETS DESIGN...4 LÄGGA TILL TABELL...4 EDITERA TABELL...4 EDITERA RELATION...5 SPARA OCH AVSLUTA... INSTALLATION...3 ATT KOMMA IGÅNG...3 PROGRAMMETS DESIGN...4 LÄGGA TILL TABELL...4 EDITERA TABELL...4 EDITERA RELATION...5 SPARA OCH AVSLUTA...6 2 (6) 2D1954 Programutvecklingsprojekt vt 2003 Installation

Läs mer

INTRODUKTION TILL OrCAD

INTRODUKTION TILL OrCAD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Agneta Bränberg 23-3-27 INTRODUKTION TILL OrCAD Laboration E1 ELEKTRO Personalia: Namn: Kurs: Datum: Återlämnad (ej godkänd): Rättningsdatum Kommentarer

Läs mer

surell consulting ab

surell consulting ab PRINT ORGANIZER Detta dokument ger en kort introduktion till Print Organizer. Print Organizer ersätter och utökar den funktionalitet som tidigare fanns i Batch Print. Fokus i Print Organizer ligger på

Läs mer

Innehåll i detta dokument

Innehåll i detta dokument Läs igenom hela dokumentet innan du startar. Kopiera över allt på CD-skivan till din hårddisk. Din dator kommer behöva startas om en gång vid installationen av CodeSys. Du måste ha rättigheter att installera

Läs mer

Programmets startmeny ser ut så här. För att få fram menyerna Avsluta, Inställningar och Användare måste du föra markören upp till det blåa fältet.

Programmets startmeny ser ut så här. För att få fram menyerna Avsluta, Inställningar och Användare måste du föra markören upp till det blåa fältet. Programmets startmeny ser ut så här. För att få fram menyerna Avsluta, Inställningar och Användare måste du föra markören upp till det blåa fältet. Då du klickar på Användare öppnas denna bläddringslista.

Läs mer

Vilken version av Dreamweaver använder du?

Vilken version av Dreamweaver använder du? Sida 1 av 7 Lektion 1: sida 1 av 4 Till kursens framsida Sida 2 av 4» Lektion 1 Då ska vi sätta igång med den här kursens första lektion! Här kommer du att få lära dig hur man skapar och förbereder webbplatser

Läs mer

Guide till att använda Audacity för uttalsövningar

Guide till att använda Audacity för uttalsövningar Guide till att använda Audacity för uttalsövningar Victoria Johansson Humlabbet, SOL-center, Lund IT-pedagog@sol.lu.se 28 mars 2006 1 Inledning Audacity är ett program som kan användas för att spela in

Läs mer

M7005 och IBR Användarhandbok

M7005 och IBR Användarhandbok 181101/190313/190521/SJn M7005 och IBR Användarhandbok M7005 och IBR - Användarhandbok OBSERVERA Informationen i detta dokument kan ändras utan föregående meddelande. Innehållet är icke bindande. Matematikföretaget

Läs mer

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse GRUNDER I VHDL Innehåll Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse KOMPONENTMODELL Modell för att beskriva komponenter Externt interface Intern funktion

Läs mer

Komponenter med COM (och COM+/VC++ 7.0)

Komponenter med COM (och COM+/VC++ 7.0) MÄLARDALENS HÖGSKOLA Komponenter med COM (och COM+/VC++ 7.0) Med Visual C++ 7.0 COM-komponent EI0230 Komponentbaserad applikationsutveckling oktober 2003 Om denna sammanfattning Denna sammanfattning innehåller

Läs mer

E-tentavakt - Invigilator

E-tentavakt - Invigilator E-tentavakt - Invigilator Som e-tentavakt har du mer ansvar än en vanlig tentavakt. Du måste kunna lösa de vanligaste fnurrorna innan man kontaktar IT-support. Nedan kommer manual för e-tentavakter. Under

Läs mer

Lathund grundkurs datorutbildning

Lathund grundkurs datorutbildning Lathund grundkurs datorutbildning Innehåll: - Skapa mappar i hemkatalogen samt i gemensam mapp - Spara dokument i hemkatalogen eller i gemensam mapp - Spara bilder från ipaden på datorn - Infoga bilder

Läs mer

Installation av e-post i Outlook 2016 Med 2018 års uppdateringar.

Installation av e-post i Outlook 2016 Med 2018 års uppdateringar. Installation av e-post i Outlook 2016 Med 2018 års uppdateringar. För kunder hos Argonova Systems med maildrift hos GleSYS OBS! Outlook kommer att påstå att något är fel, det är inte fel. Läs noga och

Läs mer

NetBeans 5.5. Avsikt. Projektfönster

NetBeans 5.5. Avsikt. Projektfönster NetBeans 5.5 Avsikt Att bekanta dig med NetBeans programmeringsmiljö, dvs att med hjälp av NetBeans 1. skapa ett nytt projekt 2. skriva in källkod (sparas som.java-fil) 3. kompilera (översätta) koden till

Läs mer

Manual för ADDIS-net. Innehåll

Manual för ADDIS-net. Innehåll Manual för ADDIS-net Innehåll Inloggning... 2-3 Söksidan... 3 Registrera en ny intervju... 4 Födelsedatum/UNO-kod... 4 Lås/Lås upp intervju... 4 Redigera intervju-information... 4 Mata in svar i en intervju...

Läs mer

Steg 1 Minnen, mappar, filer Windows 10

Steg 1 Minnen, mappar, filer Windows 10 Steg 1 Minnen, mappar, filer Windows 10 Sep -18 Liljedalsdata.se Liljedalsdata Steg 1 Sida 1 Inledning Välkommen till denna kurs. Operativsystem, Program/Appar, webbsidor och instruktioner inom IT-branchen

Läs mer

Att skapa en bakgrundsbild och använda den i HIPP

Att skapa en bakgrundsbild och använda den i HIPP Att skapa en bakgrundsbild och använda den i HIPP Bakgrundsbilder i HIPP kan användas till olika saker, t ex som ett rutnät för en tabell eller en grundkarta. Här visas hur man gör en grundkarta som en

Läs mer

Datorteknik 1 (AVR 1)

Datorteknik 1 (AVR 1) Namn: Laborationen godkänd: Digitala system 15 hp Datorteknik 1 (AVR 1) LTH Ingenjörshögskolan vid Campus Helsingborg Introduktion till datorteknikutrustningen. Laborationens syfte. Syftet med laborationen

Läs mer

Handledning för Installation av etikettskrivare

Handledning för Installation av etikettskrivare Handledning för Installation av etikettskrivare Windows 7 Expert Systems 2011 Expert Systems kundtjänst: Senast Uppdaterad: 15-02-23 Exder Etikettskrivare Windows 7 Sida 2 av 23 Innehållsförteckning 1.

Läs mer

KALKYLATOR LABORATION4. Laborationens syfte

KALKYLATOR LABORATION4. Laborationens syfte LABORATION4 KALKYLATOR Laborationens syfte I denna laboration ska en enkel kalkylator konstrueras med hjälp av VHDL och utvecklingsverktyget Vivado från Xilinx. Hårdvaran realiseras på det redan bekanta

Läs mer

Din guide till. Klientinstallation MS Driftservice

Din guide till. Klientinstallation MS Driftservice Din guide till Klientinstallation MS Driftservice September 2012 Innehåll ALLMÄNT... 3 Gamla klienter... 3 Installation... 3 Vid problem... 5 inställningar för brandväggar... 6 Inställningar för Windows

Läs mer

Tidtagning med Eresults Lite programmet

Tidtagning med Eresults Lite programmet Tidtagning med Eresults Lite programmet Till en början: Börja med att anlsuta i EMIT läsaren till datorn genom att plugga in den gråa USB kabeln till USBporten där det står EMIT -> Starta sedan EResults

Läs mer

Grundkurs 1 IKT Filhantering

Grundkurs 1 IKT Filhantering Filhantering Dan Haldin Ålands lyceum I N N E H Å L L S F Ö R T E C K N I N G Innehållsförteckning... 2 Filhantering med Windows... 3 Skapa Mappar... 4 Spara rätt... 5 Öppna sparade filer... 7 Flytta och

Läs mer

Visa/Dolj knappar i Webeditor 8

Visa/Dolj knappar i Webeditor 8 Supportdokument Visa/Dolj knappar i Webeditor 8 Synpunkter, felaktigheter, önskemål etc. för dokumentet meddelas Fil: VisaDölj knappar i Webeditor 8.docx Innehållsförteckning 1. Allmänt... 2 2. Skapa ett

Läs mer

NetBeans 7. Avsikt. Projektfönster

NetBeans 7. Avsikt. Projektfönster NetBeans 7 Avsikt Att bekanta dig med NetBeans programmeringsmiljö, dvs att med hjälp av NetBeans 1. skapa ett nytt projekt 2. skriva in källkod (sparas som.java-fil) 3. kompilera (översätta) koden till

Läs mer

Rev 2. Manual för handterminal

Rev 2. Manual för handterminal Rev 2. Manual för handterminal Förord Tack för visat förtroende för våran produkt. Handterminalen ansluts till ledig USB port. Anslut aldrig via hub eller annan delad anslutning pga terminalen behöver

Läs mer

Lathund för BankID säkerhetsprogram

Lathund för BankID säkerhetsprogram Lathund för BankID säkerhetsprogram BankID säkerhetsprogram för Windows, version 4.10 Datum: 2009-11-23 Introduktion När du ska hämta ut och använda e-legitimationen BankID behöver du ha ett installerat

Läs mer

Så fungerar Diskutera i Ping Pong

Så fungerar Diskutera i Ping Pong Dokumentnamn lathund_diskussionsforum Datum Sida 21 juli 2011 1 / 5 Så fungerar Diskutera i Ping Pong Diskutera är aktivitetens forum för kommunikation mellan flera personer i utvalda grupper. Kommunikationen

Läs mer

Besvara de elektroniska frågorna (se kurshemsidan). Läs kapitel i kursbok.

Besvara de elektroniska frågorna (se kurshemsidan). Läs kapitel i kursbok. Namn: Laborationen godkänd: Laboration 3. Pipeline Laborationens syfte I laborationen ska du bekanta dig med pipelining. Genom laborationen fås kunskap om hur pipelines är konstruerade och hur de används.

Läs mer

OBS!!! Anslut ej USB kabeln till dator eller GPS innan du först har installerat drivrutinerna för USB kabeln i din dator.

OBS!!! Anslut ej USB kabeln till dator eller GPS innan du först har installerat drivrutinerna för USB kabeln i din dator. Navirad User Tool 3,7 Manual för GPS 1 Easy, GPS 2, GPS 4 samt Navirad Elite. Du kan ladda ner programmet från följande websida: Leta upp fliken Download och följ instruktionerna. http://www.tmobilteknik.com

Läs mer

Innehåll Information om hemkatalog och lagring av filer... 2 PC... 3 DAFGU-/GDA-dator, Windows

Innehåll Information om hemkatalog och lagring av filer... 2 PC... 3 DAFGU-/GDA-dator, Windows 2018-02-02 IT-ENHETEN Innehåll Information om hemkatalog och lagring av filer... 2 PC... 3 DAFGU-/GDA-dator, Windows 10... 3 Öppna hemkatalogen... 3 Kontrollera synkronisering av hemkatalog... 4 Återställning

Läs mer

3. Hämta och infoga bilder

3. Hämta och infoga bilder Sida 1 av 8 Lektion 1: sida 4 av 4 «Sida 3 av 4 Till kursens framsida 3. Hämta och infoga bilder Nu vet vi ju hur man sätter in text i sin sida. Men hur gör man med bilder? Det är inte svårt alls! Det

Läs mer

Hotspot låter användaren skapa genvägar till andra sidor.

Hotspot låter användaren skapa genvägar till andra sidor. Hotspot låter användaren skapa genvägar till andra sidor. Du kan låta bilder och/eller text bli knappar för genvägar eller navigering. Genvägarna kan leda till en annan sida i din resurs (intern sida)

Läs mer

Låt "Personlig mappfil för Office Outlook (.pst)" vara markerad. Klicka på OK

Låt Personlig mappfil för Office Outlook (.pst) vara markerad. Klicka på OK Flytta Outlookobjekt med hjälp av Personlig mapp Avsnitt: Skapa en Personlig mapp i Outlook 2007 Skapa en Personlig mapp i Outlook 2010 Flytta Outlookobjekt till den personliga mappen Spara undan den Personliga

Läs mer

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov)

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov) 7HQWDPHQL.XQGDQSDVVDGHNUHWVDUI U(P Datum: 991012 Tid: 8.00-13.00 Lokal: E138 Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov) Vid eventuella frågor

Läs mer

Eclipse. Avsikt. Nu ska ett fönster liknande figuren till höger synas.

Eclipse. Avsikt. Nu ska ett fönster liknande figuren till höger synas. Eclipse Avsikt Att bekanta dig med Eclipse programmeringsmiljö, dvs att med hjälp av Eclipse 1. skapa ett nytt projekt 2. skriva in källkod (sparas som.java-fil) 3. kompilera (översätta) koden till byte-kod

Läs mer

USB styrt DMX gränssnitt

USB styrt DMX gränssnitt USB styrt DMX gränssnitt Inledning...2 DMX bibliotek...3 Programmering av kanalerna...7 Skapa en show...11 Inledning DMX LightPlayer är mycket enkel att använda. Inför en existerande fixtur eller skapa

Läs mer

LAB VHDL-programmering

LAB VHDL-programmering LAB VHDL-programmering Med ett breakoutboard kan man använda kopplingsdäck till komponenter som egentligen är avsedda för ytmontering på kretskort. Man kan enkelt prova olika kopplingar. På så sätt använder

Läs mer

skapa genvägar till andra sidor (externa och interna)

skapa genvägar till andra sidor (externa och interna) Hotspot skapa genvägar till andra sidor (externa och interna) Du kan låta bilder och/eller text bli knappar för genvägar eller navigering. Genvägarna kan leda till en annan sida i din resurs (intern sida)

Läs mer

25. Hämta Adobe Reader

25. Hämta Adobe Reader 25. Hämta Adobe Reader version 2006-03-01 25.1 25. Hämta Adobe Reader Den här dokumentationen beskriver hur man hämtar programmet Adobe Reader från Internet. Internet. Observera att bilderna är exempel

Läs mer

Programutveckling med Java Development Kit. (JDK 1.1.x) och Programmers File Editor (PFE 7.02)

Programutveckling med Java Development Kit. (JDK 1.1.x) och Programmers File Editor (PFE 7.02) UMEÅ UNIVERSITET Institutionen för datavetenskap Thomas Johansson Oktober 1998 Programutveckling med Java Development Kit (JDK 1.1.x) och Programmers File Editor (PFE 7.02) Umeå universitet 901 87 Umeå.

Läs mer

IndraDrive - parameterhantering

IndraDrive - parameterhantering IndraDrive - parameterhantering Spara och ladda parametrar med IndraWorks D Version 1 Innehållsförteckning 1 Inledning... 3 1.1 Service och support... 3 2 IndraWorks... 4 2.1 Skanna upp IndraDrive och

Läs mer

Datorlaboration 1 Deskriptiv statistik med hjälp av MS Excel vers. 2010

Datorlaboration 1 Deskriptiv statistik med hjälp av MS Excel vers. 2010 v. 2015-01-07 ANVISNINGAR Datorlaboration 1 Deskriptiv statistik med hjälp av MS Excel vers. 2010 Detta häfte innehåller kortfattade anvisningar om hur ni använder Excel under denna laboration. Be om hjälp

Läs mer

Se till att posten är i Ändringsläge. Gå till rullgardinsmenyn under Föremål och välj Lägg in bild.

Se till att posten är i Ändringsläge. Gå till rullgardinsmenyn under Föremål och välj Lägg in bild. Bilder (11) Det går att lägga en eller flera bilder till varje föremålspost. Det enklaste är att lägga in en bild, från den registrerade föremålsposten. Det går också att lägga in ett större antal bilder

Läs mer

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1.

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg 2005-01-01 ver 1.0 Grundläggande kunskaper om Strukturell VHDL och TESTBÄDD Innehållsförteckning. sid Strukturbeskrivning 2 Digitalkonstruktionen

Läs mer

ANVISNING Om det inte lyckas att logga in i Kelain på en Mac-dator Innehåll

ANVISNING Om det inte lyckas att logga in i Kelain på en Mac-dator Innehåll Innehåll 1 Kontrollera att DigiSign-kortläsarprogrammet har startat 2 1.1 DigiSign-ikonen 2 1.2 Starta programmet 2 1.3 Verifiera att kortet fungerar 4 2 Om din webbläsare är Mozilla Firefox eller Safari,

Läs mer

Till flera av ovanstående finns det dessutom varianter, vilka kommer att presenteras i de olika avsnitten.

Till flera av ovanstående finns det dessutom varianter, vilka kommer att presenteras i de olika avsnitten. LINGUS32 Handledning Anne Börjesson Introduktion Lingus32 är ett program som främst är avsett att användas för att göra multimedia-baserade språkövningar. Programmet är skrivet för PC. Det finns möjlighet

Läs mer

Hantering av externa länkar i IRONCAD

Hantering av externa länkar i IRONCAD Hantering av externa länkar i IRONCAD Det här dokumentet är tänkt att ge dig som användare bättre kunskap kring hanteringen av externa länkar i IRONCAD. Vi går igenom de flesta sammanhang där externa länkar

Läs mer

Instruktioner för uppkoppling mot NyA Open

Instruktioner för uppkoppling mot NyA Open Magnus Björklund 2014-10-09 Sida 1 (16) Instruktioner för uppkoppling mot NyA Open ... 3... 4 Dokumentnummer Version Företag Magnus Björklund 2014-10-09 Sida 2 (16) Innehållsförteckning 1 Inledning...

Läs mer

På följande sidor återfinns en kort dokumentation som beskriver några användbara programfunktioner.

På följande sidor återfinns en kort dokumentation som beskriver några användbara programfunktioner. RengöringsSystemet V4 På följande sidor återfinns en kort dokumentation som beskriver några användbara programfunktioner. Översikt över innehåll i bifogad dokumentation: Visa / Visa ej flikar/knappar i

Läs mer

Steg 1 Minnen, mappar, filer Windows 7

Steg 1 Minnen, mappar, filer Windows 7 Steg 1 Minnen, mappar, filer Windows 7 Maj -13 Liljedalsdata.se Liljedalsdata Steg 1 Sida 1 Inledning Välkommen till denna kurs. Att jobba med datorer är ofta som att jobba med matematik. Det nya bygger

Läs mer

Inledande programmering med C# (1DV402) Ditt första C#-program med Visual Studio

Inledande programmering med C# (1DV402) Ditt första C#-program med Visual Studio Ditt första C#-program med Visual Studio Upphovsrätt för detta verk Detta verk är framtaget i anslutning till kursen Inledande programmering med C# vid Linnéuniversitetet. Du får använda detta verk så

Läs mer

Administrationsmanual ImageBank 2

Administrationsmanual ImageBank 2 Administrationsmanual ImageBank 2 INNEHÅLL 1. Konventioner i manualen 3 2. Uppmärksamhetssymboler 3 3. Vad är imagebank SysAdmin 4 4. Guide för att snabbt komma igång 5 5. Uppgradera din imagebank 1.2

Läs mer

Arbetsinstruktion. Platina light. Syfte. Dokumenthistorik

Arbetsinstruktion. Platina light. Syfte. Dokumenthistorik 1 (16) Platina light Arbetsinstruktion Syfte Dokumentet syftar till att beskriva hur man söker ärende, handling, hur man laddar upp och skapar arbetsdokument, samt granskar dokument i Platina

Läs mer

Innehåll Information om hemkatalog och lagring av filer... 2 PC... 2 GDA-dator, Windows

Innehåll Information om hemkatalog och lagring av filer... 2 PC... 2 GDA-dator, Windows 2019-02-21 IT-ENHETEN Innehåll Information om hemkatalog och lagring av filer... 2 PC... 2 GDA-dator, Windows 10... 2 Öppna hemkatalogen... 2 Kontrollera synkronisering av hemkatalog... 4 Återställning

Läs mer

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler,

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler, 9.17 Vi översätter beskrivningen till ett flödesdiagram, Figur E9.17a -c -c z=1 E A z=1 E A z=0 z=0 z=0 D z=0 D Figur E9.17a Flödesdiagram B z=0 B z=0 C z=0 C z=0 som vi i sin tur översätter till en flödestabell,

Läs mer

Workshop IBA internet based assessment

Workshop IBA internet based assessment Workshop IBA internet based assessment 2003-04-02 Ulf Jonsson Målsätttning Efter denna workshop så skall du förstå/kunna: * Beskriva olika delarna som ingår i verktyget Perception. * Konstruera enkla frågor

Läs mer

XP-els Kretskortskurs LED DIMMER

XP-els Kretskortskurs LED DIMMER XP-els Kretskortskurs LED DIMMER Författare: Joakim Lundberg Kontrollant: Nils Fredriksson Henrik Tjäder 7 maj 2015 Innehållsförteckning 1 Eagle 1 1.1 Nytt Projekt................................. 1 1.2

Läs mer

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn.

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn. Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg Laboration nr 4 i digitala system ht-15 Ett sekvensnät.. grupp.. namn. godkänd Laborationens syfte: att ge grundläggande kunskaper i att

Läs mer

Hur göra i LOGGER. Ursprungligen skriven av Tommy/SM7NZB. Redigerad och uppdaterad av Ulf/SM0LCB.

Hur göra i LOGGER. Ursprungligen skriven av Tommy/SM7NZB. Redigerad och uppdaterad av Ulf/SM0LCB. Sida 1 av 5 Hur göra i LOGGER Ursprungligen skriven av Tommy/SM7NZB. Redigerad och uppdaterad av Ulf/SM0LCB. Fortsätta med samma test, dubbelklicka på logger igen. Välj "LAST.. contest" + ENTER Fortsätt

Läs mer

För att öppna galleriet, ange adressen http://www.galleri.storsjobygdensfotoklubb.se

För att öppna galleriet, ange adressen http://www.galleri.storsjobygdensfotoklubb.se Använda Bildgalleriet För att öppna galleriet, ange adressen http://www.galleri.storsjobygdensfotoklubb.se Logga in För att skapa och administrera album för galleriet ska du logga in. Användarnamn är användarens

Läs mer

Användarutbildning i SiteVision

Användarutbildning i SiteVision Användarutbildning i SiteVision Innehållsförteckning 1 Komma igång med SiteVision 2 1.1 Starta SiteVision 2 1.2 Redigeringsläget i SiteVision 3 1.2.1 Verktygsfält 3 1.2.2 Modulväljare 4 1.2.3 Navigator

Läs mer

MANUAL ELBENSTÖD / WIZARD 6

MANUAL ELBENSTÖD / WIZARD 6 DOK.WIZ6.1502 2015.02.05 LÄGGA TILL FUNKTION FÖR ELBENSTÖD PÅ REAL 6100 PLUS MED WIZARD 6 MANUAL ELBENSTÖD / WIZARD 6 I denna manual skall vi guida dig igenom arbetet med programmeringen för att lägga

Läs mer

INSTALLATION AV VITEC MÄKLARSYSTEM

INSTALLATION AV VITEC MÄKLARSYSTEM INSTALLATION AV VITEC MÄKLARSYSTEM Studentversion september 2013 Innehållsförteckning 1. Installera VITEC Mäklarsystem... 2 2. Läs noga igenom manualen... 2 3. Systemkrav... 2 4. Kundservice/Teknisk support...

Läs mer

ANVÄNDAR MANUAL. SESAM 800 RX MC Manager

ANVÄNDAR MANUAL. SESAM 800 RX MC Manager ANVÄNDAR MANUAL SESAM 800 RX MC Manager Åkerströms Björbo AB Box 7, SE-780 45 Gagnef, Sweden street Björbovägen 143 SE-785 45 Björbo, Sweden Phone +46 241 250 00 Fax +46 241 232 99 E-mail sales@akerstroms.com

Läs mer

Skrivbordet innehåller färre ikoner, men det fungerar som tidigare att lägga till genvägar.

Skrivbordet innehåller färre ikoner, men det fungerar som tidigare att lägga till genvägar. Skrivbordet... 1 Aero... 1 Anpassa skrivbordet och aktivera Aero-tema... 1 Ändra storlek på skrivbordsikoner... 1 Ändra skärmupplösning... 1 Startmenyn... 2 Sök... 3 Aktivitetsfältet... 3 Förhandsgranska

Läs mer

1 Photo Story Steg 1 - Hämta och fixa dina bilder

1 Photo Story Steg 1 - Hämta och fixa dina bilder 1 Photo Story Microsoft Photo Story är ett program för att skapa bildberättelser. Det är gratis att ladda hem från Microsofts webbplats för Photo Story. Programmet fungerar bara om du har Windows XP. Se

Läs mer

Installation av StruSofts låne-licensserver (nätverkslicens)

Installation av StruSofts låne-licensserver (nätverkslicens) Installation av StruSofts låne-licensserver (nätverkslicens) Denna manual hjälper dig att installera StruSofts licensserver, hämta ner dina licenser via webupdate samt kontrollera att licenserna finns

Läs mer

Kom igång med Etikettskrivaren 1. Ladda ner följande installationsprogram Ladda ner Drivrutiner för etikettskrivare Zebra

Kom igång med Etikettskrivaren 1. Ladda ner följande installationsprogram Ladda ner Drivrutiner för etikettskrivare Zebra Kom igång med Etikettskrivaren Följande är en lathund över de installationer/inställningar som behöver göras i ditt operativsystem för att skrivaren ska fungera fullt ut. Vi utgår ifrån att du har en skrivare

Läs mer

Steg 1 Minnen, mappar, filer Windows 8

Steg 1 Minnen, mappar, filer Windows 8 Steg 1 Minnen, mappar, filer Windows 8 Feb -14 Liljedalsdata.se Liljedalsdata Steg 1 W8 Sida 1 Inledning Välkommen till denna kurs. Att jobba med datorer är ofta som att jobba med matematik. Det nya bygger

Läs mer

Översättningsminnen laboration

Översättningsminnen laboration Översättningsminnen laboration LÖT-kursen ht-15 Syfte Målet med laborationen är att få insikt i hur ett system för översättningsminnen fungerar och hur enkla termlistor används i översättningsprojekt.

Läs mer

TSIU50 Mikrodatorprojekt. LAB1 AVR-introduktion och logikanalysator

TSIU50 Mikrodatorprojekt. LAB1 AVR-introduktion och logikanalysator 1 2 TSIU50 Mikrodatorprojekt LAB1 AVR-introduktion och logikanalysator Michael Josefsson Januari 2007 Detta häftes syfte är att tjäna som en snabb introduktion till utvecklingsmiljön AVRStudio och den

Läs mer

Eclipse. Kort genomgång

Eclipse. Kort genomgång Eclipse Kort genomgång Installation för den som vill ha Eclipse på sin egen maskin Du behöver först och främst ett Java Development Kit (JDK). Om du inte har något, installera JDK 7.0 från http://www.oracle.com/technetwork/java/javase/downloads/

Läs mer

batklubben.eu s hemsida

batklubben.eu s hemsida batklubben.eu s hemsida redigera din sida av webbplatsen 1. Skriv in http://www.din_doman.se/admin i browserns adressfält. 2. Skriv in ditt användarnamn och lösenord i inloggningsrutan, och tryck OK. 3.

Läs mer

BICT:01 BICT. sv-se. Användarinstruktion Gäller från BICT 2.24. Utgåva 5. Scania CV AB 2015, Sweden

BICT:01 BICT. sv-se. Användarinstruktion Gäller från BICT 2.24. Utgåva 5. Scania CV AB 2015, Sweden BICT:01 Utgåva 5 sv-se BICT Användarinstruktion Gäller från BICT 2.24 339 837 Scania CV AB 2015, Sweden Introduktion 3 Om BICT 3 Inställningar 4 Översikt 5 Beskrivning av termer 6 Grafiska symboler i programmet

Läs mer

LADDA UPP EN PDF-FIL OCH LÄNKA TILL DEN I DIN ARTIKELTEXT

LADDA UPP EN PDF-FIL OCH LÄNKA TILL DEN I DIN ARTIKELTEXT Joomla Guide 2.5.11 LÄNKAR LADDA UPP EN PDF & LÄNKA TILL I ARTIKEL Sida 1 av 11 LADDA UPP EN PDF-FIL OCH LÄNKA TILL DEN I DIN ARTIKELTEXT I denna guide får du lära dig att: Ladda upp ett PDF dokument på

Läs mer

BILAGA 5 Fi2Utrymmen Bilaga till CAD-kravspecifikation med förvaltningsinformation Version 1.0

BILAGA 5 Fi2Utrymmen Bilaga till CAD-kravspecifikation med förvaltningsinformation Version 1.0 BILAGA 5 Fi2Utrymmen Bilaga till CAD-kravspecifikation med förvaltningsinformation Version 1.0 Senast reviderad 2008-xx-xx FI2Utrymmen Om man använder ADT 2007 och ABS 2007 måste följande saker installeras

Läs mer

Starta Egenrapportering automatiskt i Mac

Starta Egenrapportering automatiskt i Mac EGENRAPPORTERING MAC Starta Egenrapportering automatiskt i Mac En förutsättning för att starta Egenrapportering är att man har Java installerat på sin dator. Vi rekommenderar av säkerhetsskäl att man har

Läs mer

Guide för PDF/A Författare Avd Telefon Datum Version Sid Göran Lindqvist 0921-573 00 2006-08-16 1.1 1 (9)

Guide för PDF/A Författare Avd Telefon Datum Version Sid Göran Lindqvist 0921-573 00 2006-08-16 1.1 1 (9) Göran Lindqvist 0921-573 00 2006-08-16 1.1 1 (9) Inledning Detta dokument är framtaget för att ge generell hjälp med att skapa ett PDF/A-dokument av ett Microsoft Word-dokument. Även hur man ställer in

Läs mer

BILAGA 1 ADVES MANUAL 1 (7)

BILAGA 1 ADVES MANUAL 1 (7) BILAGA 1 ADVES MANUAL 1 (7) Handläggare Kristofer Söderhielm Datum 2012-06-01 Versionsnr 1.1 Tel +46 739 795 776 Mobil +46 739 795 776 Fax +46 10 505 01 01 kristofer.soderhielm@afconsult.com ÅF-Industry

Läs mer

Publicera taltidningen

Publicera taltidningen Publicera taltidningen Innehåll Publicera taltidningen...1 Två-stegs-raketen: Webbpubliceringen av taltidningen...1 Manual för publiceringsverktyget WaveFusion...2 Starta verktyget WaveFusion och skapa

Läs mer

DIGITALA RESURSER MANUAL FÖR. Samarbete via Acrobat Connect

DIGITALA RESURSER MANUAL FÖR. Samarbete via Acrobat Connect DIGITALA RESURSER MANUAL FÖR Samarbete via Acrobat Connect 1 Introduktion Följande guide beskriver hur du kan arbeta med Adobe Acrobat Connect som verktyg för samarbete. 1.1 Förutsättningar För att kunna

Läs mer

Innehåll instruktion Sharefile för Trafikverkets applikationer

Innehåll instruktion Sharefile för Trafikverkets applikationer Innehåll instruktion Sharefile för Trafikverkets applikationer Introduktion till Sharefile... 1 Skicka filer till din Sharefile-folder... 2 Ladda upp filer och foldrar via webbläsare... 2 Skapa foldrar

Läs mer

Konstruktionsmetodik för sekvenskretsar

Konstruktionsmetodik för sekvenskretsar Konstruktionsmetodik för sekvenskretsar Digitalteknik Föreläsning 7 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Inför laboration 2 Synkronisering av insignaler Asynkrona ingångar

Läs mer

Installationsanvisning För dig som har valt fast IP-Adress

Installationsanvisning För dig som har valt fast IP-Adress Installationsanvisning För dig som har valt fast IP-Adress Inomhusnod Comega FTTH-TVMC... 2 Inomhusnod Allied Telesyn img616... 4 Inomhusnod CTS HET-3109... 5 Nätverkskort... 6 Kontakter och kablar...

Läs mer