LABORATIONSINSTRUKTION

Storlek: px
Starta visningen från sidan:

Download "LABORATIONSINSTRUKTION"

Transkript

1 Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Trafikljus med SFC-programmering KURS El- och styrteknik för tekniker ET1015 INNEHÅLL LAB NR PLC 5 Ver Inledning 2. Laborationskortet i styrteknik 3. Laborationsuppgifter Uppgift 1: Uppgift 2: Uppgift 3: Introduktion till SFC-programmering med GX IEC SFC-program med alternativa sekvenser SFC-program med olika tasks NAMN KOMMENTARER PROGRAM/KURS UTFÖRD GODKÄND SIGN

2 Laboration PLC 5 Trafikljus med SFC-programmering 1. Inledning Syftet med laborationen är praktiskt få studera programmering av ett styrsystem med sekventiella program. Vid laborationen används begreppen SFC (Sequential Function Chart) och task med olika prioritet enligt standarden IEC Vid laborationen används laborationskortet enligt beskrivningen nedan. Kortet ska vara byglat för trafikljus. Laborationen består av tre uppgifter: En enkel styrning av trafikljus med SFC (Sequential Function Chart). Styrningen består enbart av 3 tillstånd. En styrning av trafikljus med en parallell sekvens. I styrningen används MOV_M funktionen för att mata ut trafikljusets olika ljusbilder på ett enkelt sätt. En styrning av trafikljuset med två olika styrsekvenser som beskrivs med olika tasks. De två sekvenserna är normal och blinkande gult ljus. 2. Laborationskortet i styrteknik Laborationsutrustningen består av en PLC, MELSEC FX1S-14MT-DSS, och ett laborationskort som är monterat på en platta. Till laborationskortet ansluts matningsspänningen 24 V DC via en batterieliminator. Laborationskortet är uppdelat två delar, trafik och hiss. Vilken av delarna som ska användas väljs med byglingar på LIST1 och LIST2 på kretskortet, se figuren nedan. Figur 4: Laborationskortet med PLC-systemet Lab PLC5-1 - pls

3 I manualerna till styrsystemet MELSEC FX1S betecknas in- och utgångarna med: X0 till X7 8 stycken ingångar Y0 till Y5 6 stycken utgångar Normalt är det bättre att använda symboliska namn och tabellerna nedan visar förslag till namn för ingångarna respektive utgångarna. INGÅNGAR: Kortet byglat för hiss Kortet byglat för trafik Ingång Symb. namn Ingång Symb. namn X0 BTN_VAN1 X0 SW_DGV X1 BTN_VAN2 X1 SW_DGO X2 BTN_VAN3 X2 SW_KGS X3 BTN_NOD X3 SW_KGN X4 SENS_VAN1 X4 BTN_DGOG X5 SENS_VAN2 X5 BTN_DGVG X6 SENS_VAN3 X6 BTN_KGSG X7 RUN X7 BTN_KGNG UTGÅNGAR: Kortet byglat för hiss Kortet byglat för trafik Utgång Symb. namn Ingång Symb. namn Y0 LED1_GUL Y0 LEDKG_RED Y1 LED2_GUL Y1 LEDKG_GUL Y2 LED3_GUL Y2 LEDKG_GREEN Y3 LEDV3_GREEN Y3 LEDDG_RED Y4 LEDV2_GREEN Y4 LEDDG_GUL Y5 LEDNOD_RED Y5 LEDDG_GREEN Utöver in och utgångar finns det i alla PLC-system ett antal interna register som man måste känna till. De vanligaste är minnesceller (M), timerfunktioner (T), räknarfunktioner (C) och dataregister (D). Tabellen nedan visar vad som finns i MELSEC FX1S: M M0 till M minnesceller, matade med normal DC M M384 till M minnesceller, permanenta (retentiva) T T0 till T ms timer, utsignal efter 0.1 till sek T T32 till T62 10 ms timer, om spec. minne M8028 = 1 T T63 1 ms timer, utsignal efter till sek C C0 till C15 16 bitars räknare C C16 till C31 16 bitars räknare, retentiva C C235 till C bitars high speed counter D D0 till D127 Nollställs när PLC stoppas/spänning från D D128 till D255 Behåller informationen (latch) Mer data om in- och utgångar och olika typer av register finns i kapitel 4 i manualen MELSEC FX Family. När man skriver program kan man ange vilket register som ska användas och för en del maskinnära instruktioner är det praktiskt att göra så. Vanligtvis använder man registren i olika funktioner eller funktionsblock och då får programvaran styra vilka register som används. Vilka register som får användas av användaren respektive programvaran bestäms med menyalternativet Extras/Options/System Variables, se sidan 10 i kompendiet. Lab PLC5-2 - pls

4 3. Laborationsuppgifter För att kunna göra laborationen måste föreläsningarna om SFC-programmering med tillhörande teoriavsnitt vara genomgångna. Uppgift 1: Introduktion till SFC-programmering med GX IEC I uppgift 1 ska trafikljuset på KUNGSGATAN växla från rött-gult-grönt enligt diagrammet nedan. 1 Utgångsläge Tänd LEDKG_RED BTN_DVG = 1 2 Tänd LEDKG_GUL Vänta 8 sek 3 Tänd LEDKG_GREEN Vänta 16 sekunder Moment i GX-IEC: 1. Skapa ett nytt projekt i ett tomt directory på normalt sätt. Välj Empty Project när val av programmeringsspråk ska väljas. 2. Skapa en ny POU genom att klicka på POU_Pool. Välj Sequential Function Chart och namnge den nya POU:en. Importera den globala variabellistan för labkortets trafikljus. På bildskärmen visas Project Navigator med ungefärligt utseende enligt figuren till höger. Figuren visar: En POU med namnet ampel_test1. POU:n är av typen program (PRG). POU:n ska programmeras med Sequential Function Chart (SFC) Till POU:n finns en Action_Pool. Action Pool kommer att fyllas med ett antal Actions som definierar vad som ska utföras i de olika tillstånden i SFC-programmet Lab PLC5-3 - pls

5 3. Editera Body[SFC] i POU:n genom att dubbelklicka på den och skapa ett tillståndsdiagram med samma utseende som det ursprungliga diagrammet. Några tips: Stäng av View/Grid för att få tydligare diagram. Använd symbolerna för att rita diagrammet. Varje tillstånd har ett namn (tex. KG_RED). Skriv in valfria namn på tillstånden. View/Extended Information/SFCeditor ger möjlighet att skriva 2 rader med kommentarer i varje tillstånd. Övergångsvillkoren är boolska variabler som påverkas av tillståndet ovanför villkoret. Ett övergångsvillkor kan också vara ett Network som ger utsignalen TRAN. 4. Skapa (minst) en Action för varje tillstånd. Högerklicka på Action Pool och gör ett normalt PLC-program, gärna av typen FBD. Figuren nedan visar Project Navigator med 3 olika Actions (KG_GREEN, KG_GUL, KG_RED). Till höger finns innehållet i Action KG_GREEN. Namnen på respektive Action är valfritt och behöver inte vara samma som tillståndets namn. Tilldela en/flera Action till respektive tillstånd Dubbelklicka tillståndet, tryck på F2 5. Tilldela övergångsvillkor (Transition), det finns 2 varianter Markera villkoret, tryck F2, välj boolesk variabel Vänsterklicka villkoret och definiera ett program med ett Network för villkoret. Utsignalen måste ha namnet TRAN. I exemplet ovan används enbart booleska variabler som övergångsvillkor. 6. Testa programmet på normalt sätt genom att skapa en ny task i Task_Pool. Dokumentera programmet enligt beskrivningen i laboration PLC1. Lab PLC5-4 - pls

6 Uppgift 2: SFC-program med alternativa sekvenser I uppgift 2 skall ett trafikljus med alternativa sekvenser konstrueras. Styrningen skal ha nedanstående principiella utseende. Initial Ljusbilder Drottningg Kungsgatan G Y R G Y R Vänta 15 sek State State State3a KG and State3b (KG) and Vänta 15 sek Vänta 5 sek State State OBS En av ljusbilderna är kanske inte helt korrekt. Rätta den! För trafikljuset gäller att normalt har Drottninggatan grönt ljus längre än den mindre Kungsgatan. Om Kungsgatan har mycket trafik, d.v.s. om givaren KGS är ettställd, får båda gatorna grönt ljus under lika lång tid. Det finns åtminstone ett fel i ljusbilderna, rätta det! Några tips I varje state skall 6 binära utsignaler mats ut. Signalerna ska motsvara trafikljusets lampor. Med funktionen MOV_M är det lätt att göra det, se figuren I figuren är LB0 en konstant av typen integer med värdet 33. Värdet 33 motsvarar binärt som kommer att skickas ut till Y0 Y7 och rätt lysdioder för ljusbild 1 kommer att tändas. Konstanterna ska definieras i Global Variable List. Lab PLC5-5 - pls

7 Om man använder FBD (Function Block Diagram) kan man behöva grindar med inverteringar enligt figuren: På den övre ingången finns en inverterarsymbol. Inverteringen erhålls genom att vänsterklicka precis innanför ingångens anslutning i AND-rutan. Samma invertering kan erhållas på utgången av AND-symbolen. Även andra boolska utgångar, t.ex. på en timer, kan manipuleras på samma sätt. Notera att bilden visar ett övergångsvillkor för trafikljusstyrningen. Det kan vara praktiskt att kombinera den första tidsfördröjningen med en tryckknapp vid test av programmet. Det är bra att veta att programmet stannar i ett bestämt tillstånd. Med View/View Model kan man växla mellan symbolisk adress (t.ex. SW_DGV) och Mitsubishi adress (t.ex. X0). Det går alldeles utmärkt att använda Monitorn för SFC-program. Normalt vill man använda monitorn i flera fönster. Man gör då på följande sätt: Aktivera ett fönster Välj Online/Monitor Mode Aktivera nästa fönster Välj Online/Start Monitoring Aktivera nästa fönster Välj Online/Start Monitoring o.s.v. Konstruera trafikljusstyrningen, testa funktionen, dokumentera och redovisa på vanligt sätt. Uppgift 3: SFC-program med olika tasks I uppgift 3 skall trafikljusstyrning från uppgift 2 kompletteras så att trafikljuset har En NORMAL-sekvens enligt uppgift 2. En BLINK-sekvens där alla gula signallampor blinkar med frekvensen 1 Hz. Blinktiden erhålls med fördel från M8013, se kompendiet. Vid byte NORMAL=>BLINK skall bytet ske omedelbart när switchen SW_DGV ettställs. Vid byte BLINK=>NORMAL skall bytet ske omedelbart när switchen SW_DGV nollställs. NORMAL skall alltid börja med den första ljusbilden. Uppgiften ska lösas med olika tasks och beskrivningen nedan visar en lösning som använder 3 tasks, se figuren. Olika värden på Prio och Event kan ställas in med högerklick/properities på respektive task. Prio 31 resp 0 är den lägsta resp högsta prioriteten. Programmet i en PLC kan bestå av flera tasks och hur de ska exekveras bestäms med villkoren till respektive task. Efterson PLC:en arbetar med programvarv gäller: task main att utföras i alla programvarv task amp_normal utförs i alla programvarv om Event = NORMAL task amp_blink utförs i alla programvarv om Event = BLINK en task kan också utföras med vissa INTERVAL, om Event = FALSE Med Prio bestäms ordningen mellan de tasks som ingår i programvarvet. Här används samma prioritet eftersom ordningen inte har någon betydelse. Den task som inte ingår i ett programvarv exekveras naturligtvis inte och koden överhoppas med hoppinstruktioner. Lab PLC5-6 - pls

8 En svårighet med styrningen av trafikljuset är att SFC-programmet NORMAL måste kunna nollställas så att det alltid börjar med den första ljusbilden vid övergång från BLINK till NORMAL. För att nollställa en sekvens finns en speciell rutin, SFC reset, som nollställer sekvensen till starttillståndet. Om variabel EMERGENCY_OFF =1 och POU:n med SFC-programmet är aktivt så nollställs programmet omedelbart. EMERGENCY_OFF kan skrivas in i POU:n genom att markera POU/Högerklicka/Properties, se figuren: För att åstadkomma EMERGENCY_OFF på rätt sätt måste den genereras vid övergången BLINK=>NORMAL och vara ettställd under det första programvarvet som NORMAL är aktiverat. Övergången mellan BLINK=>NORMAL styrs av en signal, SW_DGV, som går låg. Den negativa flanken på SW_DGV skall därför generera en puls på EMERGENCY_OFF under ett programvarv. Med funktionen PLF, Pulse Falling, erhålles detta, enligt hjälptexten: The PLF instruction with trailing edge from the input condition sets a device for one program scan. If the designated device is already set, this device will be reset for one program scan. PLF-funktionen används i task main enligt nedanstående figur: Konstruera SFC-programmet med olika tasks enligt ovanstående text och eventuella förklaringar, testa funktionen, dokumentera och redovisa enligt beskrivningarna i tidigare laborationer. Lab PLC5-7 - pls

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION PLC-styrning av ett minimalt parkeringsgarage KURS El- och styrteknik för tekniker ET 1015 INNEHÅLL LAB NR 4 Ver 1.0 1. Inledning 2. Laborationskortet

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION KURS ET1001 Styrteknik LAB NR PLC 5 INNEHÅLL 1. Inledning 2. Laborationskortet i styrteknik 3. Laborationsuppgifter NAMN KOMMENTARER PROGRAM/KURS

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Introduktion till PLC-programmering KURS ETB016 Styrteknik LAB NR PLC 1 INNEHÅLL 1. PLC systemet FX1S 2. Introduktion till GX IEC Developer

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Introduktion till PLC-programmering KURS Styrteknik ET1001, ET1007, ET1009 LAB NR 1 INNEHÅLL 1. PLC systemet FX1S 2. Introduktion till

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Introduktion till PLC-programmering KURS Styrteknik grundkurs ET1009 INNEHÅLL LAB NR 1 Ver 1.1 1. PLC systemet FX1S 2. Introduktion till

Läs mer

Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas

Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas Tentamen i ET1014 Introduktion till elektroteknik och styrteknik 7.5 hp 2012-08-22 14.00-18.00, Sal Sxxx Hjälpmedel: Miniräknare, formelblad (bifogad)

Läs mer

Laboration 1 Styrteknik

Laboration 1 Styrteknik 090120/ Thomas Munther IDE-sektionen, Halmstad Högskola Laboration 1 Styrteknik Starta upp programmet GX IEC Developer ligger på skrivbordet eller alternativt under program. Gå sedan in under Project-

Läs mer

1 Strömmen I skall mätas med en amperemeter. Amperemetern är inställd på området 30 ma. Vad kommer amperemetern att visa?

1 Strömmen I skall mätas med en amperemeter. Amperemetern är inställd på området 30 ma. Vad kommer amperemetern att visa? Högskolan Dalarna Sida 1 av 11 Elektroteknik Per Liljas/Lars-Erik Cederlöf Tentamen i ET1014 Introduktion till elektroteknik och styrteknik 7.5 hp 2011-08-22 9.00-13.00, Sal Sxxx Hjälpmedel: Miniräknare,

Läs mer

Styrteknik 7.5 hp distans: SFC med GX IEC

Styrteknik 7.5 hp distans: SFC med GX IEC SFC_B:1 SFC, Sequential Function Chart Language, är ett av de 5 programmeringsspråken som stöds av IEC 61131-3 standarden. SFC finns med i GX IEC Developer. Ett SFC program sparas i en POU som de övriga

Läs mer

Styrteknik 4.5/7.5 hp distans: Tidskretsar, räknare

Styrteknik 4.5/7.5 hp distans: Tidskretsar, räknare PLC4B:1 Olika exempel med Timers och Counters En Enkel Timer med MELSEC IL Funktion: LD BTN_DGVG OUT T1 K50 LD T1 OUT LEDKG_RED Om BTN_DGVG trycks ned och hålls nedtryckt: => LEDKG_red = 1 efter 5.0 sek

Läs mer

Programmerbar logik. Kapitel 4

Programmerbar logik. Kapitel 4 Kapitel 4 Programmerbar logik Programmerbar logik (PLC: Programmable Logic Controller; fi. ohjelmoitava logiikka) är en sorts mikrodatorliknande instrument som är speciellt avsedda för logik- och sekvensstyrningsproblem.

Läs mer

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1 Styrteknik Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner

Läs mer

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler Exempeluppgift i Logikstyrning Inledning Idén med detta papper är att ge en allmän beskrivning av labbutrustningen och tips för hur man kan lösa olika praktiska problem i samband med laborationen. Läs

Läs mer

Styrteknik : Programmering med IEC 61131-3. Styrteknik

Styrteknik : Programmering med IEC 61131-3. Styrteknik PLC1B:1 Styrteknik Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner PLC1B:2 PLC står för Programmable Logical Controller Kom

Läs mer

Grunderna i stegkodsprogrammering

Grunderna i stegkodsprogrammering Kapitel 1 Grunderna i stegkodsprogrammering Följande bilaga innehåller grunderna i stegkodsprogrammering i den form som används under kursen. Vi kommer att kort diskutera olika datatyper, villkor, operationer

Läs mer

GX IEC Developer Sekvensstyrning och SFC-editor

GX IEC Developer Sekvensstyrning och SFC-editor GX IEC Developer Sekvensstyrning och SFC-editor 1 Vad är en sekvens? STEG0 START STEG1 STEG2 STEG3 UTMATARE SENS_UTMAT UTMATARE SENS_UTMAT KORG_NER SENS_VÅN1 Ett sekvensprogram används i PLC-systemet när

Läs mer

Styrteknik : Funktioner och funktionsblock

Styrteknik : Funktioner och funktionsblock PLC2A:1 Variabler och datatyper Allmänt om funktioner och funktionsblock Programmering av funktioner Programmering av funktionsblock PLC2A:2 Variabler i GX IEC Developer Global and Local Variables Variables

Läs mer

Styrteknik: Tidskretsar, räknare

Styrteknik: Tidskretsar, räknare PLC4A:1 Timer: Tidtagare, automatisk till- eller frånkoppling. Timer för PLC (Tidkrets) En tidsstyrd ett- eller noll-ställning av en utgång/minnescell. Tidsstyrningen kan vara tillslagsfördröjd (on delay

Läs mer

Sekvensstyrning Grafcet och IEC

Sekvensstyrning Grafcet och IEC Sekvensstyrning Grafcet och IEC 61131-3 Indtroduktion GRAFCET Tekniken grundades i Frankrike på 1970-talet och ligger till grund för ett standardiserat programspråk i enlighet med standard IEC 61131-3.

Läs mer

Programmerbara styrsystem

Programmerbara styrsystem Styrteknik ETB016 Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner Programmerbara styrsystem PLC står för Programmable Logical

Läs mer

LABORATIONER I STYRTEKNIK. Grundläggande PLC- programmering

LABORATIONER I STYRTEKNIK. Grundläggande PLC- programmering 1 LABORATIONER I STYRTEKNIK LABORATION : Grundläggande PLC- programmering 2 Övning 1. Rita ur reläschemat nedan ett ladderschema i GX IEC Developer. Kör programmet och prova funktionen med hjälp av ingångssimulatorn.

Läs mer

Styrteknik: MELSEC FX och numeriska värden

Styrteknik: MELSEC FX och numeriska värden PLC2C:1 MELSEC FX I kursen styrteknik används styrsystemet FX1S som är ett litet system i MELSEC FX-serien. Vår version av FX1S har endast digitala in- och utgångar men oftast finns det både digitala och

Läs mer

Manual för EQE PLC enhet

Manual för EQE PLC enhet Manual för EQE PLC enhet EQE PLC enheten är enkelt programmerbar via byglingar. Detta gör att inga förkunskaper inom programmering behövs. De olika funktionerna realiseras helt enkelt genom att flytta

Läs mer

Automation Laboration: Överföring över PROFIBUS

Automation Laboration: Överföring över PROFIBUS Automation Laboration: Överföring över PROFIBUS Inledning Sedan slutet av 80-talet har kommunikationssystemet PROFIBUS utvecklats och ökat i användning inom industrin. PROFIBUS utgör ett exempel på ett

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Institutionen för Elektroteknik LABORATION LABORATIONSINSTRUKTION LOG/iC, PLD, kombinatorik, sekvensnät KURS Digitalteknik LAB NR 6 INNEHÅLL. Inledning 2. Prioritetskodare 3. Elektronisk

Läs mer

Styrteknik: SFC Introduktion

Styrteknik: SFC Introduktion SFC_A:1 SFC = Sequential Function Chart Language SFC är ett grafiskt programspråk som används för att beskriva sekvenser i styrtekniska tillämpningar. Många styrtekniska uppgifter är sekvensiella, dvs

Läs mer

Tentamen SSY 065, lördag 14/4, 08:30-12:30, M. Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30

Tentamen SSY 065, lördag 14/4, 08:30-12:30, M. Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30 Industriautomation Tentamen SSY 065, lördag 14/4, 08:30-12:30, M Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30 Fullständig lösning ska lämnas på samtliga uppgifter. I förekommande

Läs mer

HÖGSKOLAN I KALMAR Institutionen för teknik Erik Loxbo 06 03 04 LABORATION I PLC-TEKNIK SEKVENSSTYRNING AV TRANSPORTBAND SIMATIC S7 - GRAPH

HÖGSKOLAN I KALMAR Institutionen för teknik Erik Loxbo 06 03 04 LABORATION I PLC-TEKNIK SEKVENSSTYRNING AV TRANSPORTBAND SIMATIC S7 - GRAPH HÖGSKOLAN I KALMAR Institutionen för teknik Erik Loxbo 06 03 04 LABORATION I PLC-TEKNIK SEKVENSSTYRNING AV TRANSPORTBAND SIMATIC S7 - GRAPH Uppgift: Lös nedanstående problemställning med hjälp av programvaran

Läs mer

Laborationsrapport. Lab nr 8. Kurs Elinstallation, begränsad behörighet. Laborationens namn Skåpbyggnad. Kommentarer. Utförd den. Godkänd den.

Laborationsrapport. Lab nr 8. Kurs Elinstallation, begränsad behörighet. Laborationens namn Skåpbyggnad. Kommentarer. Utförd den. Godkänd den. Laborationsrapport Kurs Elinstallation, begränsad behörighet Lab nr 8 Laborationens namn Namn Skåpbyggnad Kommentarer Version 1.8 Utförd den Godkänd den Sign 1 Inledning I denna laboration skall du lära

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

Programmering av ett industrikap med GRAPH 7 / Programming of an industrial cutting machine in GRAPH 7

Programmering av ett industrikap med GRAPH 7 / Programming of an industrial cutting machine in GRAPH 7 School of Mathematics and Systems Engineering Reports from MSI - Rapporter från MSI Programmering av ett industrikap med GRAPH 7 / Programming of an industrial cutting machine in GRAPH 7 Sep MSI Report

Läs mer

Allt om datalogging och datakommunikation!

Allt om datalogging och datakommunikation! PLC8D:1 Allt om datalogging och datakommunikation! Data Logging Data Logging, HMI Tools File Transfer Elementär datakommunikation Elementär datakommunikation, E-Designer och Ethernet PLC8D:2 Data Logging

Läs mer

Manual för motionscykel Extreme XT 3,3

Manual för motionscykel Extreme XT 3,3 Manual för motionscykel Extreme XT 3,3 Nyckelfunktioner: Mode Genom att trycka på Mode kan man förflytta sig mellan de olika funktionerna. Tid, Hastighet, Distans, Kalorier och Puls. De olika funktionerna

Läs mer

WAGO IO System Service Seminar. Diagnostik

WAGO IO System Service Seminar. Diagnostik WAGO IO System Service Seminar Diagnostik 1 Dioder på Controller Link 1/2 Ethernet Länk status Av - ingen ethernet anslutning grön - Ethernet anslutning blinkande grön - Ethernet anslutning skickar / tar

Läs mer

VHDL och laborationer i digitalteknik

VHDL och laborationer i digitalteknik V:1.1 VHDL och laborationer i digitalteknik Vid laborationskursen i digitalteknik används VHDL till alla laborationerna utom den första. VHDL är ett stort språk och enbart en liten del av språket behövs

Läs mer

Programmering av Thangram portregister System XIP (VA/08)

Programmering av Thangram portregister System XIP (VA/08) Programmering av Thangram portregister System XIP (VA/08) Håll in PROG-knappen i centralen under 3 sekunder. Den gula lampan tänds. Ute på portregistret/porttelefonen fås en indikation att systemet är

Läs mer

Nallelek Lärarvägledning

Nallelek Lärarvägledning NALLELEK - LÄRA MERA PROGRAM AB Nallelek Lärarvägledning NALLELEK... 2 1.1 Programmet... 2 1.2 Övningar som stärker förmågan att iaktta bilder och se detaljer... 3 1.2.1 Pedagogiska tips... 3 1.3 Kategorisering

Läs mer

Installationshandbok. Styrsystem 9 30061.1003.S.01. SMD-styrenhet utan frekvensomriktare

Installationshandbok. Styrsystem 9 30061.1003.S.01. SMD-styrenhet utan frekvensomriktare Installationshandbok Styrsystem 9 30061.1003.S.01 2 Installationshandbok Styrsystem 9 Installationshandbok Styrsystem 9 3 Innehåll 1.0 Styrsystem 1.2 Kabel med 5-polig EU-stickkontakt 1.3 Manöverorgan

Läs mer

Följddiagram för händelsestyrda rörelser

Följddiagram för händelsestyrda rörelser Följddiagram för händelsestyrda rörelser 2 STYROBJEKT UNIKA FASER Två arbetscylindrar ska röra sig i följande ordning. När man ger startkommando ska kolvstången i cylinder gå ut. När den har nått sitt

Läs mer

Twincat: PLC Control

Twincat: PLC Control Dokument Förklaring Dat. Revision KI-221-003-003 Kom igång med trukturerad Text 080402 1.0 Twincat: PLC Control Kom igång med Strukturerad Text (ST) programmering 1. Kod exempel. a. Exemplen som demonstreras

Läs mer

088U0240 / 088U0245. Instruktion för huvudstyrenheten CF-MC

088U0240 / 088U0245. Instruktion för huvudstyrenheten CF-MC 088U0240 / 088U0245 SE Instruktion för huvudstyrenheten CF-MC 2 Danfoss Heating Solutions VIUHK707 Danfoss 2010/09 Index 1. Introduktion..................................................................

Läs mer

Bruksanvisning Spisvakt

Bruksanvisning Spisvakt Bruksanvisning Spisvakt Med start i spisvredet (autostart) och överhettningsskydd (värmevakt). Godkända av Hjälpmedelsinstitutet som Bra hjälpmedel! E 19 086 45 (3-fas) E 19 086 52 (1-fas) Introduktion

Läs mer

Den här texten ska förhoppningsvis underlätta en del av anpassningarna. Det kan säkert finnas en del fel och annat tok.

Den här texten ska förhoppningsvis underlätta en del av anpassningarna. Det kan säkert finnas en del fel och annat tok. Ver Okt 2011/pls Windows7, GX-IEC Developer, USB-adapter I olika kurser i styrteknik på Högskolan Dalarna används ett styrsystem från Mitsubishi och programvaran GX-IEC Developer. Kurserna går på distans

Läs mer

Smart Rogic Manual. Robostick Manual

Smart Rogic Manual. Robostick Manual Smart Rogic Manual Robostick Manual Ladda ner Smart Rogic Programfönster Programmera 4 Kopiera / Klipp ut 5 Ta bort symbol 6 Ångra / Gör om 7 Funktion 8 Ta bort program 9 Anslut via Bluetooth 0 Överföra,

Läs mer

TENTAMENSUPPGIFTER I ELEKTROTEKNIK

TENTAMENSUPPGIFTER I ELEKTROTEKNIK ELEKTROTEKNK Tentamen med lösningsförslag nlämningstid Kl: MASKKONSTRUKTON KTH TENTAMENSUPPGFTER ELEKTROTEKNK Elektroteknik Media. MF035 och 4F4 009 08 4.00 7.00 För godkänt fordras c:a 50% av totalpoängen.

Läs mer

Laboration 1 Styrteknik

Laboration 1 Styrteknik 110114/ Thomas Munther IDE-sektionen, Halmstad Högskola Laboration 1 Styrteknik Starta upp programmet GX IEC Developer ligger på skrivbordet eller alternativt under program. Gå sedan in under Project-

Läs mer

Provmoment: Ladokkod: Tentamen ges för: Tentamen TE111B El3. Namn: Personnummer: Tentamensdatum: 20120410 Tid: 14:00-18:00.

Provmoment: Ladokkod: Tentamen ges för: Tentamen TE111B El3. Namn: Personnummer: Tentamensdatum: 20120410 Tid: 14:00-18:00. Mikrodatorteknik Provmoment: Ladokkod: Tentamen ges för: Tentamen TE111B El3 7,5 högskolepoäng Namn: Personnummer: Tentamensdatum: 20120410 Tid: 14:00-18:00 Hjälpmedel: Totalt antal poäng på tentamen:

Läs mer

Föreläsning 6: Introduktion av listor

Föreläsning 6: Introduktion av listor Föreläsning 6: Introduktion av listor Med hjälp av pekare kan man bygga upp datastrukturer på olika sätt. Bland annat kan man bygga upp listor bestående av någon typ av data. Begreppet lista bör förklaras.

Läs mer

Produktbeskrivning: Brandgasspjällstyrning

Produktbeskrivning: Brandgasspjällstyrning Produktbeskrivning: Brandgasspjällstyrning Funktion: Brandgasspjällstyrning m. rökdetektor SIOX-modul: 8SC1:004 Processbild: 8SC1_004.DFF Inkopplingsbeskrivning Inkoppling spjällmotor med S1-S6 som lägesindikering.

Läs mer

Föreläsning 3.1: Datastrukturer, en översikt

Föreläsning 3.1: Datastrukturer, en översikt Föreläsning.: Datastrukturer, en översikt Hittills har vi i kursen lagt mycket fokus på algoritmiskt tänkande. Vi har inte egentligen ägna så mycket uppmärksamhet åt det andra som datorprogram också består,

Läs mer

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 - Inbyggda system - Analog till digital signal - Utvecklingssystem, målsystem - Labutrustningen - Uppbyggnad av mikrokontroller - Masinkod, assemblerkod

Läs mer

Installatörs- och användarhandbok DI-623-99L

Installatörs- och användarhandbok DI-623-99L Installatörs- och användarhandbok UNITEL DI-623-99L Så fungerar porttelefonen. När det kommer en besökare trycker han # och Ditt 2-siffriga anropsnummer alt. endast ditt telefonnummer (eller direktknappen

Läs mer

LABORATIONSINSTRUKTION DIGITAL REGLERTEKNIK. Lab nr. 3 DIGITAL PI-REGLERING AV FÖRSTA ORDNINGENS PROCESS

LABORATIONSINSTRUKTION DIGITAL REGLERTEKNIK. Lab nr. 3 DIGITAL PI-REGLERING AV FÖRSTA ORDNINGENS PROCESS LABORATIONSINSTRUKTION DIGITAL REGLERTEKNIK Lab nr. 3 DIGITAL PI-REGLERING AV FÖRSTA ORDNINGENS PROCESS Obs! Alla förberedande uppgifter skall vara gjorda innan laborationstillfället! Namn: Program: Laborationen

Läs mer

Tentamen SSY 065, onsdag 17/12, 08:30-12:30, H. Lärare: Petter Falkman, (772) 3723 Tider för lärarens närvaro: 09:30, 11:00

Tentamen SSY 065, onsdag 17/12, 08:30-12:30, H. Lärare: Petter Falkman, (772) 3723 Tider för lärarens närvaro: 09:30, 11:00 Industriautomation Tentamen SSY 065, onsdag 17/12, 08:30-12:30, H Lärare: Petter Falkman, (772) 3723 Tider för lärarens närvaro: 09:30, 11:00 Fullständig lösning ska lämnas på samtliga uppgifter. I förekommande

Läs mer

Ladderprogrammering steg för steg

Ladderprogrammering steg för steg Ladderprogrammering steg för steg En introduktion till LD-programmering för kursen MIE 012 Elektroteknikens Grunder vid LTH. Gunnar Lindstedt Introduktion Den dominerande typen av styrsystem för binära

Läs mer

Programmering A C# VT 2010. Ett kompendie över Programmering A (50p) i c# Stefan Fredriksson 2010 02 08

Programmering A C# VT 2010. Ett kompendie över Programmering A (50p) i c# Stefan Fredriksson 2010 02 08 Programmering A C# VT 2010 Ett kompendie över Programmering A (50p) i c# Stefan Fredriksson 2010 02 08 Innehåll Hjälp och referenser... 3 Kap 1 Introduktion... 3 Steg för steg... 3 Kapitel 2 Variabler...

Läs mer

4 Laboration 4. Brus och termo-emk

4 Laboration 4. Brus och termo-emk 4 Laboration 4. Brus och termoemk 4.1 Laborationens syfte Detektera signaler i brus: Detektera periodisk (sinusformad) signal med hjälp av medelvärdesbildning. Detektera transient (nästan i alla fall)

Läs mer

Beskrivning av hur du ansluter en E-terminal från Beijer Electronics till HC900 via Ethernet så att denna kan visa och manipulera data i HC900.

Beskrivning av hur du ansluter en E-terminal från Beijer Electronics till HC900 via Ethernet så att denna kan visa och manipulera data i HC900. Noterat i labbet om: Anslut en Beijer Electronics E-terminal till HC900 via Ethernet NIL00019 2002/09/03 Vad är Noterat i labbet om? Noterat i labbet om är en samling dokument som skall ses som hjälpmedel

Läs mer

Frågebanker, frågeuppsättningar och slumpvisa block

Frågebanker, frågeuppsättningar och slumpvisa block Frågebanker, frågeuppsättningar och slumpvisa block Innehåll Frågebanker... 1 Skapa frågebank... 1 Importera en frågebank... 3 Lägg till frågor i frågebank... 3 Skapa frågeuppsättning... 3 Skapa slumpvist

Läs mer

Användarmanual för pollare, styrda av logik U200 eller U 201 Version V8

Användarmanual för pollare, styrda av logik U200 eller U 201 Version V8 öppnar för ett tryggare samhälle Användarmanual för pollare, styrda av logik U200 eller U 201 Version V8 DENNA MANUAL ÄR AVSEDD FÖR KVALIFICERADE ELLER UTBILDADE TEKNIKER. ALLT ICKE AUKTORISERAT ARBETE

Läs mer

Drift- och skötselanvisning. Pannväljare ER-p3. Pannväljare typ ER-p3.

Drift- och skötselanvisning. Pannväljare ER-p3. Pannväljare typ ER-p3. Drift- och skötselanvisning. Pannväljare ER-p3 Pannväljare typ ER-p3. Apparatskåp. Apparatskåpet är i plug-in-utförande, för vägg eller panelmontage (stort rack). Det består av display, inställningsrattar,

Läs mer

Tele Radio 860. Manual IM-860-001-A3

Tele Radio 860. Manual IM-860-001-A3 Tele Radio 860 Manual IM-860-001-A3 1 860 TX 1= Yellow LED 1 2= Yellow LED 2 3= Red/ Green LED 3 1 2 3 860 TX 4= Charge connector Only 12V DC 1 2 3 4 5 7 1 2 6 8 9 Start Start X 0 Only 12V DC 4 2 1 2 3

Läs mer

MSR. www.intab.se info@intab.se 0302-24 600 Gjutarevägen 1 443 61 Stenkullen

MSR. www.intab.se info@intab.se 0302-24 600 Gjutarevägen 1 443 61 Stenkullen MSR www.intab.se info@intab.se 0302-24 600 Gjutarevägen 1 443 61 Stenkullen Inledning Intabs MSR är en liten kraftfull datalogger med USB-anslutning som komponeras ihop efter behov. De olika modellerna

Läs mer

TSIU50 Mikrodatorprojekt. LAB1 AVR-introduktion och logikanalysator

TSIU50 Mikrodatorprojekt. LAB1 AVR-introduktion och logikanalysator 1 2 TSIU50 Mikrodatorprojekt LAB1 AVR-introduktion och logikanalysator Michael Josefsson Januari 2007 Detta häftes syfte är att tjäna som en snabb introduktion till utvecklingsmiljön AVRStudio och den

Läs mer

artnr. C4204 ATLAS KODLÅS ENKEL

artnr. C4204 ATLAS KODLÅS ENKEL Manual artnr. C4204 ATLAS KODLÅS ENKEL Läs instruktionerna noggrant före installation och användande Sida 1 Introduktion IP-65 klassat kodlås Strömkälla Kodlåset drivs med en växel- eller likströmstransformator

Läs mer

Bruksanvisning REPO. Radiorepeater

Bruksanvisning REPO. Radiorepeater Bruksanvisning REPO Radiorepeater Innehåll Viktig information... 2 Översikt... 3 Installation... 4 Konfiguration... 5 Repetera alla larm eller bara från utvalda larmsändare... 5 Max antal hopp... 6 Fördröjning

Läs mer

Sortering av cylindrar

Sortering av cylindrar Automationsteknik Sortering av cylindrar 1(8) Sortering av cylindrar I denna laboration ska ett antal aluminiumcylindrar sorteras med hjälp av ett sorteringsverk som styrs av en Siemens SIMATIC S7-1200

Läs mer

Vem är vem på kursen. Objektorienterad programvaruutveckling GU (DIT011) Kursbok Cay Horstmann: Big Java 3rd edition.

Vem är vem på kursen. Objektorienterad programvaruutveckling GU (DIT011) Kursbok Cay Horstmann: Big Java 3rd edition. Institutionen för Datavetenskap Göteborgs universitet HT2009 DIT011 Vem är vem på kursen Objektorienterad programvaruutveckling GU (DIT011) Kursansvarig : Katarina Blom, tel 772 10 60 Rum: 6126 (E-huset)

Läs mer

Manual för WMR- 252 inbyggnadsmottagare med universaldimmer

Manual för WMR- 252 inbyggnadsmottagare med universaldimmer Manual för WMR- 252 inbyggnadsmottagare med universaldimmer En unik u niversell trådlös mottagare för inbyggnad med dimmer funktion för fjärrstyrning av b.l.a dimbara 230V LED lampor, lågenergilampor,

Läs mer

CoDeSys 2.3.x.x Svensk installationsguide

CoDeSys 2.3.x.x Svensk installationsguide CoDeSys 2.3.x.x Svensk installationsguide WAGO Kontakttechnik GmbH & Co. KG / Jonas Hedlöf & Simon Nilsson WAGO Sverige 1 Target Settings del 1 - Starta CodeSys -Välj rätt targetfil beroende på vilken

Läs mer

Addera ett nytt Arranger Track. Skapa Arranger Events

Addera ett nytt Arranger Track. Skapa Arranger Events Datorstudion med Cubase Johan Axelsson 69 Arrangera med Arranger Track Det finns ett annat sätt att arrangera dina projekt, alltså att lägga upp ordningen och längden på intron, verser, refränger, stick

Läs mer

Användarmanual Körjournal för iphone

Användarmanual Körjournal för iphone Användarmanual Körjournal för iphone Innehållsförteckning 1 Beskrivning... 3 2 Inmatning/val av uppgifter...4 2.1 2.2 2.3 2.4 2.5 3 Resor...8 3.1 3.2 3.3 3.4 3.5 3.6 4 Navigering... 8 Startplats (Från)...

Läs mer

Försättsblad till skriftlig tentamen vid Linköpings Universitet

Försättsblad till skriftlig tentamen vid Linköpings Universitet Försättsblad till skriftlig tentamen vid Linköpings Universitet Datum för tentamen 03-05-3 Salar U, KÅRA, U3 Tid -8 Kurskod TSEA Provkod TEN Kursnamn Digitalteknik Institution ISY Antal uppgifter som ingår

Läs mer

IHC Win Programmering

IHC Win Programmering IHC Win Programmering Läs noggrant igenom texterna och utför programmerings exemplen enligt de gråtonade rutorna. INSTALLATION Skärmbilden är indelad i två fönster, "Installation" till vänster och "Funktioner"

Läs mer

MONTERINGSANVISNING & BRUKSANVISNING för CARGARD Portstyrning RDC 30 / RDC 120

MONTERINGSANVISNING & BRUKSANVISNING för CARGARD Portstyrning RDC 30 / RDC 120 MONTERINGSANVISNING & BRUKSANVISNING för CARGARD Portstyrning RDC 30 / RDC 120 CSD AB 2000-05-02 FUNKTIONSBESKRIVNING: GarGard's Portstyrning är avsedd för öppning och stängning av elektriska garageportar,

Läs mer

Digitalt lärande och programmering i klassrummet

Digitalt lärande och programmering i klassrummet Stockholm 2018-02-14 14 februari 2018 Digitalt lärande och programmering i klassrummet Programmera i Python med micro:bit Introduktion I förra lektionen gick vi igenom grunderna i hur man programmerar

Läs mer

Föreläsning 1 & 2 INTRODUKTION

Föreläsning 1 & 2 INTRODUKTION Föreläsning 1 & 2 INTRODUKTION Denna föreläsning Vad händer under kursen? praktisk information Kursens mål vad är programmering? Skriva små program i programspråket Java Skriva program som använder färdiga

Läs mer

Datorlaboration 0, Programmering i C++ (EDAF30)

Datorlaboration 0, Programmering i C++ (EDAF30) LUNDS TEKNISKA HÖGSKOLA Programmering i C++ Institutionen för datavetenskap HT 2015 Datorlaboration 0, Programmering i C++ (EDAF30) Under den inledande datorlaborationen får du träna på de grundläggande

Läs mer

Pulsmätare med varningsindikatorer

Pulsmätare med varningsindikatorer Pulsmätare med varningsindikatorer Elektro- och informationsteknik Projektrapport, EITF11 Digitala Projekt Charlie Hedhav Sofia Johansson Louise Olsson 2016-05-17 Abstract During the course Digitala Projekt

Läs mer

Välkommen till. Styrteknik grundkurs

Välkommen till. Styrteknik grundkurs Välkommen till Styrteknik grundkurs Allmänt om styrsystem (PLC) Ladder och Logik Grundinstruktioner Tidskretsar Räknare Minne SET- och RST-instruktioner Strukturering av program Sekvensprogrammering överkurs

Läs mer

Anfallslarm EPI-2000(-P) Bruksanvisning

Anfallslarm EPI-2000(-P) Bruksanvisning Anfallslarm EPI-2000(-P) Bruksanvisning 1 Beskrivning EPI-2000 är ett hjälpmedel för att påkalla hjälp för personer som drabbas av skakningar vid t ex ett generaliserat toniskt-kloniskt anfall (grand-mal)

Läs mer

Introduktion till syntesverktyget Altera Max+PlusII

Introduktion till syntesverktyget Altera Max+PlusII Lunds Universitet LTH Ingenjörshögskolan Ida, IEA Helsingborg Laboration nr 5 i digitala system, ht-12 Introduktion till syntesverktyget Altera Max+PlusII Beskrivning i VHDL och realisering av några enkla

Läs mer

Snabbguide i handhavande av. PGC TWD RC-Series Reciprocator Control System. Styrning av vertikalrörelse hos 2 pistolförare

Snabbguide i handhavande av. PGC TWD RC-Series Reciprocator Control System. Styrning av vertikalrörelse hos 2 pistolförare Snabbguide i handhavande av PGC TWD RC-Series Reciprocator Control System Styrning av vertikalrörelse hos 2 pistolförare Triggning av 8 färgsprutor (option) T1 T2 Copyright 2000-2006 Betraco Automation

Läs mer

*1 Alternativ lösning Serial/IP COM Port Redirector v4.8 from tacticalsoftware.com För mer info se

*1 Alternativ lösning Serial/IP COM Port Redirector v4.8 from tacticalsoftware.com För mer info se Ethernetkommunikation med FX-serien, FX2NC-ENET-ADP KI00206B 2010-03 FX1S FX1N FX2N(C) FX3U(C) 1 Funktion och användningsområde Med hjälp av Ethernetmodulen FX2NC-ENET-ADP kan man kommunicera med MELSEC

Läs mer

Det finns en hemsida. Adressen är http://www.idt.mdh.se/kurser/ct3760/

Det finns en hemsida. Adressen är http://www.idt.mdh.se/kurser/ct3760/ CT3760 Mikrodatorteknik Föreläsning 1 Torsdag 2005-08-25 Upprop. Det finns en hemsida. Adressen är http://www.idt.mdh.se/kurser/ct3760/ Kurslitteratur är Per Foyer Mikroprocessorteknik. Finns på bokhandeln.

Läs mer

SMD 134 Objektorienterad programmering

SMD 134 Objektorienterad programmering SMD 134 Objektorienterad programmering Dagens agenda: Typer i Java: primitiva datatyperna, referenstyper Variabler och variabeltilldelningar med primitiva typer Konstanter av de olika typerna. Heltalsräkning

Läs mer

2009-11-19. Gäller från tillverkningsvecka 2005.48

2009-11-19. Gäller från tillverkningsvecka 2005.48 ABC-KSUB/KSUB-LIGHT STYR OCH ÖVERVAKNINGSENHET. Gäller från tillverkningsvecka 2005.48 beskrivning: KSUB är en styr och övervakningsenhet avsedd för att styra olika typer av brand/ brandgasspjäll och fläktar

Läs mer

Installatörs- och användarhandbok DI-623-21L

Installatörs- och användarhandbok DI-623-21L Installatörs- och användarhandbok UNITEL DI-623-21L Så fungerar porttelefonen. När det kommer en besökare trycker han # och Ditt anropsnummer (eller direktknappen med ditt namn på). Därefter ringer Din

Läs mer

Innehåll 1. Om ScandLarm Air... 3 2. Ladda ner App... 3 3. Starta kontrollpanel... 4 4. Konfigurera App till kontrollpanelen... 5 5.

Innehåll 1. Om ScandLarm Air... 3 2. Ladda ner App... 3 3. Starta kontrollpanel... 4 4. Konfigurera App till kontrollpanelen... 5 5. ScandLarm Air Innehåll 1. Om ScandLarm Air... 3 2. Ladda ner App... 3 3. Starta kontrollpanel... 4 4. Konfigurera App till kontrollpanelen... 5 5. Koda enheter till kontrollpanelen... 7 5.1 Radera enhet...

Läs mer

T1-modulen Lektionerna 10-12. Radioamatörkurs OH6AG - 2011 OH6AG. Bearbetning och översättning: Thomas Anderssén, OH6NT Heikki Lahtivirta, OH2LH

T1-modulen Lektionerna 10-12. Radioamatörkurs OH6AG - 2011 OH6AG. Bearbetning och översättning: Thomas Anderssén, OH6NT Heikki Lahtivirta, OH2LH T1-modulen Lektionerna 10-12 Radioamatörkurs OH6AG - 2011 Bearbetning och översättning: Thomas Anderssén, OH6NT Original: Heikki Lahtivirta, OH2LH 1 Logikkretsar Logikkretsarna är digitala mikrokretsar.

Läs mer

Om NXTprogrammering. Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT?

Om NXTprogrammering. Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Om NXTprogrammering RCX-modul (Robotic Commander explorer) Styrenhet som innehåller liten dator Vad innehåller lådan? Liten dator, sensorer, motorer, hjul Massor av legobitar RCX-modulen Skärm Gå vänster

Läs mer

JF-Link. Trådlös elmanövrering av hydraulik. Instruktionsbok. Bruksanvisning i original

JF-Link. Trådlös elmanövrering av hydraulik. Instruktionsbok. Bruksanvisning i original JF-Link Trådlös elmanövrering av hydraulik Instruktionsbok Bruksanvisning i original S INNEHÅLLSFÖRTECKNING 1. JF-LINK TRÅDLÖS ELMANÖVRERING AV HYDRAULIK... 5 VENTILBOX... 5 INLÄRNING AV FJÄRRMANÖVRERING...

Läs mer

4:4 Mätinstrument. Inledning

4:4 Mätinstrument. Inledning 4:4 Mätinstrument. Inledning För att studera elektriska signaler, strömmar och spänningar måste man ha lämpliga instrument. I detta avsnitt kommer vi att gå igenom de viktigaste, och som vi kommer att

Läs mer

KOM IGÅNG GUIDE e!cockpit Av Carsten Holm

KOM IGÅNG GUIDE e!cockpit Av Carsten Holm KOM IGÅNG GUIDE e!cockpit Av Carsten Holm Support.se@wago.com AGENDA 1. LICENSHANTERING 2. AVSCANNING AV HÅRDVARAN 3. SKAPA I/O VARIABLER 4. BIBLIOTEKSHANTERING 5. ANVÄNDNING AV VARIABLER 6. FÖRSTA PROGRAMMET

Läs mer

Eftersom det endast är en fristående strömslinga man påverkar då man trycker på knappen säger man att omkopplaren i bild 1 är en enpolig omkopplare.

Eftersom det endast är en fristående strömslinga man påverkar då man trycker på knappen säger man att omkopplaren i bild 1 är en enpolig omkopplare. Olika Switchar Vi ska titta på hur man ordnar äkta bypass med hjälp av en så kallad trepolig till-till fotomkopplare eller, som man också säger, en 3PDT switch. På vägen ska vi titta på några vanliga switchar

Läs mer

SVM F4. Integrationsverk. Installationsanvisning

SVM F4. Integrationsverk. Installationsanvisning SVM F4 Integrationsverk Installationsanvisning Inkopplingsanvisning F4 För fullständig inkopplingsanvisning, se manual för F4. Leverans Integreringsverket levereras i transportläge. Detta innebär att endast

Läs mer

DAC500 Door Access Control unit

DAC500 Door Access Control unit DAC500 Door Access Control unit Inledning och adressering av Hi-O 3 Adressering av Hi-O enheter 3 Installation 4 Master reset/initiering 5 Initieringsmatris DAC500 6 Bygelfält 7 Streckscheman 8 Anslutning

Läs mer

LABORATIONSINSTRUKTION. Mätning på dioder och transistorer

LABORATIONSINSTRUKTION. Mätning på dioder och transistorer Lars-Erik Cederlöf LABORATIONSINSTRUKTION LABORATION Mätning på dioder och transistorer KURS Elektronik grundkurs LAB NR 4 INNEHÅLL Data om dioden 1N4148 Kontroll av diod Diodens karaktäristik Data om

Läs mer

PROGRAMMERING AV MCU LABORATION6. Laborationens syfte

PROGRAMMERING AV MCU LABORATION6. Laborationens syfte PROGRAMMERING AV MCU LABORATION6 Laborationens syfte I denna laboration ska MCUn som konstruerades i laboration 5 programmeras. Instruktionerna som vi har tillgång till är de som implementerades i instruktionsavkodaren

Läs mer

Programmeringsguide Picolo Porttelefon

Programmeringsguide Picolo Porttelefon Programmeringsguide Picolo Porttelefon Svara när det ringer på dörren: Detta är en kompletterande förenklad manual för programmering av Picolo. För installation hänvisas till ursprunglig manual, fn på

Läs mer