Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler

Storlek: px
Starta visningen från sidan:

Download "Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler"

Transkript

1 Exempeluppgift i Logikstyrning Inledning Idén med detta papper är att ge en allmän beskrivning av labbutrustningen och tips för hur man kan lösa olika praktiska problem i samband med laborationen. Läs noggrannt igenom innan du börjar med labbuppgiften i Sekvensstyrning, så går arbetet lättare. Vi skall titta på exempel. i kompendiet. I detta transportörproblem skall en transportör styras mellan två stationer, A och B. Tre knappar används för att styra transportören vänster, höger och stopp. Vid stationerna finns lägessensorer och vagnen får inte passera dem. A B Styrsystemet skall implementeras på tågbanan vid Laboratoriet för reglerteknik (se Figur ). I exemplet i kompendiet styrs vagnen med hjälp av tre utsignaler: mot vänster, mot höger och stilla. I tågbanan, däremot, styrs tåget med hjälp av två signaler: och BYT_RIKTNING. Tåget kör då är. BYT_RIKTNING är en puls på circa millisekunder som signalerar åt tåget att det skall byta riktning. Då tåget får en -signal efter BYT_RIKTNING så kommer det att börja köra i motsatt riktning. Om både och BYT_RIKTNING är aktiva samtidigt kommer BYT_RIKTNING att vara signalen som går fram. Det finns alltså inget som håller reda på om tåget backar eller kör framåt. Det här är något som vi måste beakta vid design av styrsystemet. För att generera en puls av en bestämd längd behövs en timerfunktion. Timern finns beskriven i kurskompendiet. I praktiken betyder det att vi får en extra insignal, som är då timern har räknat färdigt, och en extra utsignal, som startar timern. Insignaler och utsignaler Vi skall nu bestämma vilka in- och utsignaler systemet kommer att ha. In- och utsignalerna för tågbanan finns listade i tabell, respektive. Vi väljer dem som är relevanta för denna tillämpning. Som insignaler har vi tre knappar, två ljussensorer och en timer done -signal. Som utsignaler har vi, BYT_RIKTNING och starta timern. Vi ser dessutom från Figur att det finns en växel mellan stationerna A och B (växel 3). Den måste vara svängd till vänster för att tåget skall köra till B. Det kan enkelt åstadkommas genom att sätta utsignalen för växel 3 till. För nöjes skull kan vi Visserligen kommer tåget fram till B även då det kör den andra vägen, men då måste de övriga växlarna ställas om för att tåget skall kunna backa från B till A.

2 Figur : Tågbanan även ta med lamporna i knapparna som utsignaler. De kan användas så att lampan i STOP-knappen lyser då tåget står stilla och lamporna i de två övriga knapparna lyser då tåget rör sig i någondera riktningen. Vi definierar knapp A som och knapp C som. Knapp B blir STOP-knapp. Insignalerna betecknas med u i och utsignalerna med y i. Adresserna är inte viktiga i det här skedet. Vi har då följande insignaler till systemet: Beteckning Betyder I tabell u A-sensor Ljusensor u B-sensor Ljusensor u 3 Knapp A u Knapp C u STOP Knapp B u 6 TMR och följande utsignaler: Beteckning Betyder y y BYT_RIKTNING y 3 Starta timern y Lampa i knapp A y Lampa i knapp B y 6 Lampa i knapp C y 7 Växel 3

3 Insignal Ljussensor Ljussensor Ljussensor 3 Ljussensor Ljussensor Ljussensor 6 Ljussensor 7 Knapp A Knapp B Knapp C Knapp D Knapp E Adress / / /3 / / /6 /7 / / /3 / / Tabell : Insignalerna från tågbanan Utsignal Adress Värden Kör O:/ = Stilla = Kör Byt riktning O:/ = Ge riktningsbytessignal a Ljus i knapp A O:/ = Av = På Ljus i knapp B O:/ = Av = På Ljus i knapp C O:/6 = Av = På Ljus i knapp D O:/7 = Av = På Ljus i knapp E O:/8 = Av = På Växel O:/ = Rakt = Åt sidan Växel O:/ = Rakt = Åt sidan Växel 3 O:/3 = Rakt = Åt sidan Växel O:/ = Rakt = Åt sidan a ms Tabell : Utsignalerna till tågbanan Observera dessutom att knappsignalerna är momentana; knapparna ger en logisk etta bara så länge de är intryckta. 3 Tillståndsgraf Under normal drift uppstår följande situationer Tåget står stilla vid A Tåget står stilla vid B Tåget kör mot höger 3

4 Tåget kör mot vänster Tåget står stilla mellan A och B Tåget byter riktning Dessa situationer motsvarar olika tillstånd. Då tåget stoppas mellan stationerna måste systemet hålla reda på tågets riktning, så att tåget börjar köra i rätt riktning då det startas på nytt. Därför behövs det två stopp-tillstånd ett för vardera riktningen. Vi gör här antagandet att knapparna hålls nedtryckta längre än de ms som riktningsbytessignalen ges ut. Då räcker det med ett riktningsbytestillstånd. Följande tillstånd efter riktningsbytet bestäms av vilken knapp som är nedtryckt. Timerfunktionen tas med så att knapptryckningarna registreras först efter riktningsbytet. Det behövs dessutom ett initialiseringstillstånd, som hjälper tåget att få rätt utgångsläge då man startar upp systemet. Vi antar för enkelhetens skull att tåget befinner sig mellan stationerna A och B. Om vi låter tåget köra kommer det förr eller senare att nå någondera stationen. Då vet man genast tågets läge och riktning och kan gå till följande tillstånd. Systemet måste naturligtvis starta upp i initialiseringstillståndet. Hur det kan åstadkommas visas i stycke 6. En tillståndsgraf kan nu ritas. Tillstånden representeras av noder och tillståndsövergångarna av riktade länkar. Tillståndsgrafen visas i Figur. A B Init TMR A B 3 Vid A, riktning Mot A TMR Byt riktning STOP BYT_RIKTNING Mot B STOP Vid B, riktning 6 7 Stillastående, riktning Stillastående, riktning Figur : Tillståndsgraf. Av utsignalerna är endast och BYT_RIKTNING givna.

5 Tillståndgrafen är även till stor nytta vid debuggning av programmet, eftersom den tydligt åskådliggör programmets funktion. Tillståndstabell Utgående från tillståndsgrafen kan vi ställa upp en tillståndstabell. Vi behöver inte ta med alla insignalkombinationer. Det skulle i så fall bli 6 = 6 stycken. Från tillståndsgrafen ser vi emellertid att det vanligtvis bara är en insignal som orsakar en tillståndsförändring. På sin höjd måste tre samtidiga insignaler beaktas. Vi antar att Då vi trycker på stoppknappen ignorerar vi alla andra insignaler. Timern kan enbart vara hög då vi håller på och byter riktning, varvid vi enbart är intresserade och A och B sensorerna inte kan vara höga samtidigt. och kan inte vara samtidigt höga. Då inga insignaler är höga så gör vi ingenting. Det att vi ignorerar andra insignaler betecknas genom att lämna det tomt eller med ett. y y y 3 y y y 6 y 7 u A u B u 3 u u STOP u 6 TMR I tillståndstabellen ovan finns det tillstånd som kan kombineras. Vi ser att tillstånd och 6 samt och 7 kan kombineras. I tillstånd står tåget stilla vid station A och i tillstånd 6 är tåget stoppat mellan A och B. Tåget har samma riktning i bägge tillstånden. För tillstånd och 7 kan man göra motsvarande konstaterande. Tillstånden 3 och kan ser nästan ut som om de kan kombineras med tillstånden /6 respektive /7, men man kan enbart kombinera stabila tillstånd med olika nummer då man har lika insignaler. Så får vi sammanlagt 6 tillstånd, som betecknas med x,..., x BYT_RIKTNING TMR_SET Lampa i A Lampa i B Lampa i C Växel 3

6 u A u B u 3 u u STOP u 6 TMR x, x,7 7 x x 3 7 x 3 x Vi kunde visserligen ha gjort ovanstående förenkling redan då vi ställde upp tillståndsgrafen, men det är vanligen svårt att från grafen se vilka tillstånd som kan kombineras och det bästa sättet att göra det på. På basen av förenklingen kan vi rita en ny tillståndsgraf (Figur 3). Observera att man man måste beakta ifall tåget befinner sig vid en station, då användaren trycker på eller. Annars kan tåget köra förbi stationerna. A B Init A TMR B+STOP 3 A+STOP Stillastående, riktning Mot A TMR Byt riktning BYT_RIKTNING Mot B B Stillastående, riktning Figur 3: Tillståndsgraf. Av utsignalerna är endast och BYT_RIKTNING utritade. Booleska uttryck Från tillståndstabellen går det enkelt att härleda de booleska uttryck som beskriver systemet. Det som behövs är programmering av alla oinringade siffror i tillståndstabellen, som motsvarar tillståndsövergångar. Att använda Karnaugh-diagram eller QMC-metoden med beaktande av alla insignaler och tillstånd blir väl klumpigt här, för vi har 6 insignaler och 6 tillstånd. Vid konstruktionen av minimala uttryck för Set-funktioner så kan man typiskt betrakta övergångar från ett tillstånd åt gången, för eliminering av tillstånd så innebär att man skulle ha samma övergång vid icke-tillståndet, det vill säga vid alla andra tillstånd. Vilket är högst osannolikt, och det går dessutom lätt att upptäcka detta specialfall. Reset-funktionerna behöver typiskt inte bero på tillstånd, eftersom reset enbart har betydelse 6

7 om man är vid det givna tillståndet, vilket även gäller här. Och angåend insignaler så räcker det att plocka ut de som hör ihop med den aktuella tillståndsövergången (irrelevanta insignaler betecknades med eller tomt i tillståndstabellen). Set-funktionerna betecknas med S i och reset-funktionerna med R i. För S behövs en speciell funktion, systemet skall starta vid tillståndet, och efter det aldrig komma tillbaks. I vårt system åstadkoms detta med en funktion med namnet OSR (One Shot Rising), som är vid första exekveringen av programmet, och därefter. För Set är det övergångar till ifrågavarande tillstånd som gäller, och för Reset är det övergångar bort från det ifrågavarande tillstånd. R beror på u, u, u 3 och u, vilket leder till följande Karnaugh-diagram. u u u 3 u Don t care strecken kommer av att tåget inte kan vara vid båda stationerna samtidigt, och av att vi antar att man inte får trycka på pil-knapparna samtidigt. De boolska uttrycken blir följande: S = OSR R = u + u Vi har övergångar till x från x och x 3, som beror på i nedanstående Karnaugh-diagram insatta variabler: u 3 u u 3 u - - u u - u - Övergångar från x Övergångar från x 3 Observera att u saknades i tidigare version, och även in kontaktdiagrammet givet senare. Inget fel uppstår på grund av detta, vilket beror på att R nollställer x då u =. Korrekt uttryck för S ges nedan: S = u x + u u x 3 + u x 3 = u x + (u u + u )x 3 R beror på u, u, u 3 och u, vilket leder till följande Karnough-diagram. 7

8 u u u 3 u Detta ger den minimala formen R = u + u 3 u. Konstruktionen av S och R är analog med föregående: S = u x + u x + u x = u x + (u + u )x R = u 3 + u u För S 3 har vi en övergång u 3 u 6 x från x, medan övergångarna från x beror på u, u och u 3 : u 3 u u - - Vilket ger följande minimala form: S 3 = u u 3 x + u 3 u 6 x = u 3 (u x + u 6 x ) R 3 så skall vara hög för stop-knappen u, oberoende av alla andra insignaler. Förutom detta så beror R 3 också på u, u, u 3 och u, vilket leder till följande Karnough-diagram och minimala form: u 3 u - - u u

9 R 3 = u + u + u Konstruktionen av S och R är analog med föregående: S = u u x + u u 6 x = u (u x + u 6 x ) R = u + u 3 + u Vi har övergångar från tillståndet - till tillståndet, och vi får en term från varje tillstånd i S. Konstruktionen av dessa blir liknande i alla fyra fallen: u u u u u - - u Vilket ger följande minimala form: Övergångar från x och x 3 Övergångar från x och x S = u x + u 3 x + u x 3 + u 3 x = u (x + x 3 ) + u 3 (x + x ) R = u 3 u 6 + u u 6 = u 6 (u 3 + u ) För R så har vi enbart två övergångar, som enbart kan kombineras enligt nedanstående: R = u 3 u 6 + u u 6 = u 6 (u 3 + u ) Utsignalerna är i detta fall funktioner av tillstånden. y = x + x 3 + x y = x y 3 = x y = x 3 y = x + x y 6 = x y 7 = Ett kontaktdiagram kan enkelt konstrueras utgående från de booleska uttryckena. 9

10 6 SLC /3 och RSLogix Tågbanan styrs av en programmerbar logik (PLC) av typen SLC, tillverkad av Allen-Bradley. Den har en SLC /3 OS 3 processormodul med kb programminne (totalt 6 kb), en digital inputmodul med 6 ingångar, en digital outputmodul med 6 utgångar, två analoga inputmoduler med ingångar var och en analog outputmodul med utgångar. I denna tillämpning används endast de digitala modulerna. Minnet i SLC /3 är indelat i ett antal minnesregister för olika ändamål, t.ex. B3: (bit data), T: (timer), C: (counter), I: (input), O: (output). En digital input-enhet i PLC:n fungerar så att den lagrar en bit (/) i minnet beroende på om en input-kanal är utsatt för en bestämd spänning ( V) eller inte. För programmering av PLC:n används programmeringsverktyget RSLogix. Programmet skrivs i form av ett kontaktdiagram. I det här skedet bestämmer vi vilka adresser vi kommer att använda. Adresserna för in- och utsignalerna finns givna i tabell, respektive. Det finns en färdig timer-funktion som heter Timer On-delay (TON). Varje timer utnyttjar sitt eget timer-register. I det här fallet använder vi timer-registret T:. Har vi flera timer-funktioner kan vi använda T:, T:, o.s.v. Timern har två tidbaser,. sekund och sekund. Väntetiden är en heltalsmultipel gånger tidbasen. Vi väljer tidbasen. sekunder och väntetiden.s = ms. Timern har tre utsignaler: Timer enable, timer timing och timer done. Timer done sätts till av timern då den har räknat upp till väntetiden. Den har adressen T:/DN. Det finns ett bitregister, kallat B3:, som man kan spara enskilda bitar i. Registret är uppdelat i 6 bitars ord, med adresserna, B3:,..., B3:. Bitarna är numrerade från till och varje bit har en egen adress B3:w/b. Vi använder för att lagra tillstånden och B3: för andra bitar. För enkelhetens skull väljs tillståndens adresser så att tillstånd har adressen /, tillstånd adressen / o.s.v. Funktionerna i RSLogix har lite andra namn men är i övrigt identiska med dem i kompendiet. Set-funktionerna motsvaras av Output Latch (OTL) och reset-funktionerna av Output Unlatch (OTU). Utsignalerna ges med funktionen Output Energize (OTE). För initialisering finns en behändig funktion som heter One-shot Rising (OSR). Den utförs endast en gång under programmets körning. Genom att lägga en set-funktion för tillstånd x efter OSR kan man få systemet att starta upp i initialiseringstillståndet. One-shot rising behöver en unik minnesbit som argument; denna bit visar om OSR har utförts eller inte. B3:/ är lämplig att använda. De olika adresserna som används av styrsystemet finns givna i tabell 3. Det är nu dags att skriva själva programmet. Man kan strukturera kontaktdiagrammet som man vill men det är nödvändigt att placera set-funtionerna efter varandra och därefter reset-funktionerna. Programmet exekveras uppifrån ner och om set- och reset-funktioner kommer huller om buller finns det risk för att det nuvarande tillståndet nollställs innan följande tillstånd har satts.

11 Beteckning Förklaring Adress u A-sensor / u B-sensor / u 3 /3 u / u STOP / u 6 TMR T:/DN x Tillstånd / x Tillstånd /... x Tillstånd / y O:/ y BYT_RIKTNING O:/ y Lampa i A O:/ y Lampa i B O:/ y 6 Lampa i C O:/6 y 7 Växel 3 O:/3 OSR-bit B3:/ Tabell 3: Minnesadresser 7 Kontaktdiagram Här följer en utskrift från RSLogix. Observera att alla in- och utsignaler har namngetts för att det skall vara enklare att följa med programmets funktion. Här skulle även en förklaring av vad de olika tillstånden innebär vara praktisk, men i det här fallet har den utelämnats då antalet tillstånd är ganska litet.

12 Exempel.RSS Ladder Files --- Total Rungs in File = Timer för riktningsbyte. X TON Timer On Delay Timer T: Time Base. Preset < Accum < EN DN SET-funktioner OSR-BIT B3: OSR X L A-SENSOR A-SENSOR X X3 X L STOP 3 3 B-SENSOR X B-SENSOR X X L STOP VÄNSTER A-SENSOR TMR X T: X X3 L 3 DN HÖGER 3 B-SENSOR TMR X T: X X L DN Page

13 Exempel.RSS Ladder Files --- Total Rungs in File = Page 6 3 HÖGER X 3 X3 VÄNSTER X X L X RESET-funktioner 7 A-SENSOR B-SENSOR U X 8 3 HÖGER VÄNSTER A-SENSOR U X 9 3 HÖGER B-SENSOR VÄNSTER U X A-SENSOR 3 HÖGER STOP U 3 X3 3

14 Exempel.RSS Ladder Files --- Total Rungs in File = B-SENSOR VÄNSTER STOP TMR HÖGER T: DN 3 VÄNSTER Utsignalfunktioner X X3 3 X X X3 3 X X X O: X U X U 76-OB6 BYT_RIKTNING O: 76-OB6 LAMPA_A O: 76-OB6 LAMPA_B O: 76-OB6 LAMPA_C O: 6 76-OB6 Page 3

15 Exempel.RSS Ladder Files --- Total Rungs in File = 8 VÄXEL_3 O: 3 76-OB6 9 END Page

LOGIKSTYRNING/18/2: Laboration. 1 Uppgiften. Figur 1: Tågbanan

LOGIKSTYRNING/18/2: Laboration. 1 Uppgiften. Figur 1: Tågbanan LOGIKSTYRNING/18/2: Laboration Figur 1: Tågbanan 1 Uppgiften En programmerbar logik (PLC) skall programmeras för ett transportör-problem med en transportör (tåg) och ett antal stationer (se figur 1). Vid

Läs mer

Grunderna i stegkodsprogrammering

Grunderna i stegkodsprogrammering Kapitel 1 Grunderna i stegkodsprogrammering Följande bilaga innehåller grunderna i stegkodsprogrammering i den form som används under kursen. Vi kommer att kort diskutera olika datatyper, villkor, operationer

Läs mer

Programmerbar logik. Kapitel 4

Programmerbar logik. Kapitel 4 Kapitel 4 Programmerbar logik Programmerbar logik (PLC: Programmable Logic Controller; fi. ohjelmoitava logiikka) är en sorts mikrodatorliknande instrument som är speciellt avsedda för logik- och sekvensstyrningsproblem.

Läs mer

Föreläsning 3.1: Datastrukturer, en översikt

Föreläsning 3.1: Datastrukturer, en översikt Föreläsning.: Datastrukturer, en översikt Hittills har vi i kursen lagt mycket fokus på algoritmiskt tänkande. Vi har inte egentligen ägna så mycket uppmärksamhet åt det andra som datorprogram också består,

Läs mer

Tentamen SSY 065, lördag 14/4, 08:30-12:30, M. Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30

Tentamen SSY 065, lördag 14/4, 08:30-12:30, M. Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30 Industriautomation Tentamen SSY 065, lördag 14/4, 08:30-12:30, M Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30 Fullständig lösning ska lämnas på samtliga uppgifter. I förekommande

Läs mer

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1 Styrteknik Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner

Läs mer

F5 Introduktion till digitalteknik

F5 Introduktion till digitalteknik George Boole och paraplyet F5 Introduktion till digitalteknik EDAA05 Roger Henriksson Jonas Wisbrant p = b! (s " r) George Boole (1815-1864) Professor i Matematik, Queens College, Cork, Irland 2 Exklusiv

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Trafikljus med SFC-programmering KURS El- och styrteknik för tekniker ET1015 INNEHÅLL LAB NR PLC 5 Ver 1.0 1. Inledning 2. Laborationskortet

Läs mer

D/A- och A/D-omvandlarmodul MOD687-31

D/A- och A/D-omvandlarmodul MOD687-31 D/A- och A/D-omvandlarmodul MOD687-31 Allmänt Modulen är helt självförsörjande, det enda du behöver för att komma igång är en 9VAC väggtransformator som du kopplar till jacket J2. När du så småningom vill

Läs mer

Uppgift 1. Kylskåpstransporter

Uppgift 1. Kylskåpstransporter Uppgift 1. Kylskåpstransporter 1. Här kan du se de två bilarna lastade med kylskåp på väg mot stormarknaden En fabrik som tillverkar kylskåp ska leverera ett större parti med n, 1 n 1000, kylar till en

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Institutionen för Elektroteknik LABORATION LABORATIONSINSTRUKTION LOG/iC, PLD, kombinatorik, sekvensnät KURS Digitalteknik LAB NR 6 INNEHÅLL. Inledning 2. Prioritetskodare 3. Elektronisk

Läs mer

En ideal op-förstärkare har oändlig inimedans, noll utimpedans och oändlig förstärkning.

En ideal op-förstärkare har oändlig inimedans, noll utimpedans och oändlig förstärkning. F5 LE1460 Analog elektronik 2005-11-23 kl 08.15 12.00 Alfa En ideal op-förstärkare har oändlig inimedans, noll utimpedans och oändlig förstärkning. ( Impedans är inte samma sak som resistans. Impedans

Läs mer

Programmering av Thangram portregister System XIP (VA/08)

Programmering av Thangram portregister System XIP (VA/08) Programmering av Thangram portregister System XIP (VA/08) Håll in PROG-knappen i centralen under 3 sekunder. Den gula lampan tänds. Ute på portregistret/porttelefonen fås en indikation att systemet är

Läs mer

Bruksanvisning DAB One

Bruksanvisning DAB One Bruksanvisning DAB One Var snäll läs igenom denna bruksanvisning, innan ni börjar använda er DAB One. Grattis till ditt val av DAB/FM mottagare. Vi hoppas att du kommer att ha många trevliga stunder framför

Läs mer

UPPGIFT 1 KANINER. Håkan Strömberg 1 Pär Söderhjelm

UPPGIFT 1 KANINER. Håkan Strömberg 1 Pär Söderhjelm UPPGIFT 1 KANINER Kaniner är bra på att föröka sig. I den här uppgiften tänker vi oss att det finns obegränsat med hannar och att inga kaniner dör. Vi ska försöka simulera hur många kaninhonor det finns

Läs mer

Programmering A C# VT 2010. Ett kompendie över Programmering A (50p) i c# Stefan Fredriksson 2010 02 08

Programmering A C# VT 2010. Ett kompendie över Programmering A (50p) i c# Stefan Fredriksson 2010 02 08 Programmering A C# VT 2010 Ett kompendie över Programmering A (50p) i c# Stefan Fredriksson 2010 02 08 Innehåll Hjälp och referenser... 3 Kap 1 Introduktion... 3 Steg för steg... 3 Kapitel 2 Variabler...

Läs mer

Bruksanvisning till LEDMasterBasic1

Bruksanvisning till LEDMasterBasic1 Bruksanvisning till LEDMasterBasic1 En snabbguide V 1.0 2012-07-31 Innehåll ALLMÄNT... 2 VIKTIGT... 2 INKOPPLING:... 2 INSTALLATION AV KONFIGURATIONSPROGRAM... 2 ATT ANVÄNDA KONFIGURATIONSPROGRAMMET...

Läs mer

19. Skriva ut statistik

19. Skriva ut statistik 19. Skiva ut statistik version 2006-05-10 19.1 19. Skriva ut statistik Den här dokumentationen beskriver hur man skriver ut statistik från SPFs medlemsregister via Internet. Observera att bilderna är exempel

Läs mer

Grundläggande digitalteknik

Grundläggande digitalteknik Grundläggande digitalteknik Jan Carlsson Inledning I den verkliga världen vet vi att vi kan få vilka värden som helst när vi mäter på något. En varm sommardag visar termometern kanske 6, 7 C. Men när det

Läs mer

CE_O6. Parallell in/utmatning (I/O). Förberedelser till laboration nios2io.

CE_O6. Parallell in/utmatning (I/O). Förberedelser till laboration nios2io. IS1200 Exempelsamling till övning CE_O6, 2015 CE_O6. Parallell in/utmatning (I/O). Förberedelser till laboration nios2io. 6.1. Läs in data från IN-port (skjutomkopplare TOGGLES18) Skriv en subrutin, Get_Data

Läs mer

Användarmanual till DAISY-spelaren Telex Scholar

Användarmanual till DAISY-spelaren Telex Scholar Användarmanual till DAISY-spelaren Telex Scholar 1 1 Översikt Telex Scholar 1 Start-, paus- och stopp-knapp. 10. Hörlursuttag 2. Pilknapp för förflyttning bakåt i boken och mellan nivåer 3. Sida. Knapp

Läs mer

Manual för EQE PLC enhet

Manual för EQE PLC enhet Manual för EQE PLC enhet EQE PLC enheten är enkelt programmerbar via byglingar. Detta gör att inga förkunskaper inom programmering behövs. De olika funktionerna realiseras helt enkelt genom att flytta

Läs mer

MSR. www.intab.se info@intab.se 0302-24 600 Gjutarevägen 1 443 61 Stenkullen

MSR. www.intab.se info@intab.se 0302-24 600 Gjutarevägen 1 443 61 Stenkullen MSR www.intab.se info@intab.se 0302-24 600 Gjutarevägen 1 443 61 Stenkullen Inledning Intabs MSR är en liten kraftfull datalogger med USB-anslutning som komponeras ihop efter behov. De olika modellerna

Läs mer

Försättsblad till skriftlig tentamen vid Linköpings Universitet

Försättsblad till skriftlig tentamen vid Linköpings Universitet Försättsblad till skriftlig tentamen vid Linköpings Universitet Datum för tentamen 03-05-3 Salar U, KÅRA, U3 Tid -8 Kurskod TSEA Provkod TEN Kursnamn Digitalteknik Institution ISY Antal uppgifter som ingår

Läs mer

LEGO MINDSTORMS Education EV3

LEGO MINDSTORMS Education EV3 LEGO MINDSTORMS Education EV3 Framtiden tillhör de kreativa πr ROBOTAR OCH IT KREATIVITET SAMARBETE PROBLEMLÖSNING EV3 en evolution av MINDSTORMS Education! LEGO MINDSTORMS Education har bevisat att det

Läs mer

Microsoft Publisher. Laborationskompendium för digital behandling av publikationer. Detta exemplar tillhör:

Microsoft Publisher. Laborationskompendium för digital behandling av publikationer. Detta exemplar tillhör: Mittuniversitetet ITM Telefon 0771-97 50 00 Microsoft Publisher Laborationskompendium för digital behandling av publikationer Detta exemplar tillhör: HT 2006 Innehållsförteckning Objekt 1 Textblock 2 Tabeller

Läs mer

Om NXTprogrammering. Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT?

Om NXTprogrammering. Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Vad är MINDSTORMS NXT? Om NXTprogrammering RCX-modul (Robotic Commander explorer) Styrenhet som innehåller liten dator Vad innehåller lådan? Liten dator, sensorer, motorer, hjul Massor av legobitar RCX-modulen Skärm Gå vänster

Läs mer

Instruktioner för lägenhetsnumrering

Instruktioner för lägenhetsnumrering Version 1.1 2014 03 03 1(11) Instruktioner för lägenhetsnumrering Om det i en byggnad finns fler än en bostadslägenhet med samma belägenhetsadress ska fastighetsägaren ge varje lägenhet ett lägenhetsnummer

Läs mer

4:4 Mätinstrument. Inledning

4:4 Mätinstrument. Inledning 4:4 Mätinstrument. Inledning För att studera elektriska signaler, strömmar och spänningar måste man ha lämpliga instrument. I detta avsnitt kommer vi att gå igenom de viktigaste, och som vi kommer att

Läs mer

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg/Dan Weinehall/ Håkan Joëlson 2010-05-06 v 1.7 ELEKTRONIK Digitalteknik Laboration D184 Sekvensnät beskrivna med VHDL och realiserade

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

55200 Inspelningsbar microfon

55200 Inspelningsbar microfon 55200 Inspelningsbar microfon Lär känna Easi-Speak Innehåll: Inbyggd högtalare Mikrofon Volymknappar Hörlursuttag På-/av-knapp Statuslampa Röd inspelningsknapp Grön uppspelningsknapp USB-anslutning Föregående

Läs mer

Bygga hus med LECA-stenar

Bygga hus med LECA-stenar Bygga hus med LECA-stenar När man bygger hus med LECA-stenar finns det en del att tänka på. Till att börja med finns det LECA-stenar i olika dimensioner (t.ex. 59x19x19 och 59x19x39). Dessa dimensioner

Läs mer

HÖGSKOLAN I KALMAR Institutionen för teknik Erik Loxbo 06 03 04 LABORATION I PLC-TEKNIK SEKVENSSTYRNING AV TRANSPORTBAND SIMATIC S7 - GRAPH

HÖGSKOLAN I KALMAR Institutionen för teknik Erik Loxbo 06 03 04 LABORATION I PLC-TEKNIK SEKVENSSTYRNING AV TRANSPORTBAND SIMATIC S7 - GRAPH HÖGSKOLAN I KALMAR Institutionen för teknik Erik Loxbo 06 03 04 LABORATION I PLC-TEKNIK SEKVENSSTYRNING AV TRANSPORTBAND SIMATIC S7 - GRAPH Uppgift: Lös nedanstående problemställning med hjälp av programvaran

Läs mer

Nallelek Lärarvägledning

Nallelek Lärarvägledning NALLELEK - LÄRA MERA PROGRAM AB Nallelek Lärarvägledning NALLELEK... 2 1.1 Programmet... 2 1.2 Övningar som stärker förmågan att iaktta bilder och se detaljer... 3 1.2.1 Pedagogiska tips... 3 1.3 Kategorisering

Läs mer

Wkassa Handledning för administratörer

Wkassa Handledning för administratörer Wkassa Handledning för administratörer 1 Inledning...1 2 Arbetssätt...1 3 Administration...2 3.1 Avslut...2 3.2 Generera om filer...2 3.3 Avstämning...2 4 Systemunderhåll...3 4.1 Fasta uppgiter...3 4.1.1

Läs mer

Diskret matematik: Övningstentamen 4

Diskret matematik: Övningstentamen 4 Diskret matematik: Övningstentamen 22. Beskriv alla relationer, som är såväl ekvivalensrelationer som partiella ordningar. Är någon välbekant relation sådan? 23. Ange alla heltalslösningar till ekvationen

Läs mer

Processidentifiering och Polplacerad Reglering

Processidentifiering och Polplacerad Reglering UmU/TFE Laboration Processidentifiering och Polplacerad Reglering Introduktion Referenser till teoriavsnitt följer här. Processidentifiering: Kursbok kap 17.3-17.4. Jämför med det sista exemplet i kap

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION KURS ET1001 Styrteknik LAB NR PLC 5 INNEHÅLL 1. Inledning 2. Laborationskortet i styrteknik 3. Laborationsuppgifter NAMN KOMMENTARER PROGRAM/KURS

Läs mer

Pulsmätare med varningsindikatorer

Pulsmätare med varningsindikatorer Pulsmätare med varningsindikatorer Elektro- och informationsteknik Projektrapport, EITF11 Digitala Projekt Charlie Hedhav Sofia Johansson Louise Olsson 2016-05-17 Abstract During the course Digitala Projekt

Läs mer

Att använda bildhanteringsprogram, del 2

Att använda bildhanteringsprogram, del 2 Att använda bildhanteringsprogram, del 2 Gå till Adobe Online (M) Markeringsram - (L) Lasso - (C) Beskärning - (J) Airbrush - (S) Klonstämpel - (E) Suddgummi - (R) Oskärpa - (A) Markering av bankomponenter

Läs mer

1. Inledning. 1. Inledning

1. Inledning. 1. Inledning För de flesta människor är ett relativt okänt begrepp trots att var och en i det dagliga livet ständigt kommer i kontakt med och t.o.m. själv utövar. Reglerteknik är varje rationell metod att styra eller

Läs mer

WAGO IO System Service Seminar. Diagnostik

WAGO IO System Service Seminar. Diagnostik WAGO IO System Service Seminar Diagnostik 1 Dioder på Controller Link 1/2 Ethernet Länk status Av - ingen ethernet anslutning grön - Ethernet anslutning blinkande grön - Ethernet anslutning skickar / tar

Läs mer

IHC Win Programmering

IHC Win Programmering IHC Win Programmering Läs noggrant igenom texterna och utför programmerings exemplen enligt de gråtonade rutorna. INSTALLATION Skärmbilden är indelad i två fönster, "Installation" till vänster och "Funktioner"

Läs mer

LABORATIONSINSTRUKTION DIGITAL REGLERTEKNIK. Lab nr. 3 DIGITAL PI-REGLERING AV FÖRSTA ORDNINGENS PROCESS

LABORATIONSINSTRUKTION DIGITAL REGLERTEKNIK. Lab nr. 3 DIGITAL PI-REGLERING AV FÖRSTA ORDNINGENS PROCESS LABORATIONSINSTRUKTION DIGITAL REGLERTEKNIK Lab nr. 3 DIGITAL PI-REGLERING AV FÖRSTA ORDNINGENS PROCESS Obs! Alla förberedande uppgifter skall vara gjorda innan laborationstillfället! Namn: Program: Laborationen

Läs mer

Inledning. Att bli medlem

Inledning. Att bli medlem - Inledning Nedan följer en instruktion om hur man blir medlem på Vreta-Ytternäs hemsida och sedan en instruktion om hur man loggar in. Innan man kan logga in måste man registrera sig som medlem. Anledningen

Läs mer

Installationshandbok. Styrsystem 9 30061.1003.S.01. SMD-styrenhet utan frekvensomriktare

Installationshandbok. Styrsystem 9 30061.1003.S.01. SMD-styrenhet utan frekvensomriktare Installationshandbok Styrsystem 9 30061.1003.S.01 2 Installationshandbok Styrsystem 9 Installationshandbok Styrsystem 9 3 Innehåll 1.0 Styrsystem 1.2 Kabel med 5-polig EU-stickkontakt 1.3 Manöverorgan

Läs mer

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 - Inbyggda system - Analog till digital signal - Utvecklingssystem, målsystem - Labutrustningen - Uppbyggnad av mikrokontroller - Masinkod, assemblerkod

Läs mer

1 Strömmen I skall mätas med en amperemeter. Amperemetern är inställd på området 30 ma. Vad kommer amperemetern att visa?

1 Strömmen I skall mätas med en amperemeter. Amperemetern är inställd på området 30 ma. Vad kommer amperemetern att visa? Högskolan Dalarna Sida 1 av 11 Elektroteknik Per Liljas/Lars-Erik Cederlöf Tentamen i ET1014 Introduktion till elektroteknik och styrteknik 7.5 hp 2011-08-22 9.00-13.00, Sal Sxxx Hjälpmedel: Miniräknare,

Läs mer

Tentamen i Digitalteknik, EIT020

Tentamen i Digitalteknik, EIT020 Elektro- och informationsteknik Tentamen i Digitalteknik, EIT020 4 april 2013, kl 14-19 Skriv namn och årskurs på alla papper. Börja en ny lösning på ett nytt papper. Använd bara en sida av pappret. Lösningarna

Läs mer

Bildslinga Användarguide

Bildslinga Användarguide Bildslinga Användarguide Bildslingan låter dig exponera dina objekt i helskärmsläge, exempelvis för skyltfönstret. Text och bild hämtas från de objekt som du för tillfället annonserar på Internet. Du måste

Läs mer

Reglerteknik M3. Inlämningsuppgift 3. Lp II, 2006. Namn:... Personnr:... Namn:... Personnr:...

Reglerteknik M3. Inlämningsuppgift 3. Lp II, 2006. Namn:... Personnr:... Namn:... Personnr:... Reglerteknik M3 Inlämningsuppgift 3 Lp II, 006 Namn:... Personnr:... Namn:... Personnr:... Uppskattad tid, per person, för att lösa inlämningsuppgiften:... Godkänd Datum:... Signatur:... Påskriften av

Läs mer

VHDL och laborationer i digitalteknik

VHDL och laborationer i digitalteknik V:1.1 VHDL och laborationer i digitalteknik Vid laborationskursen i digitalteknik används VHDL till alla laborationerna utom den första. VHDL är ett stort språk och enbart en liten del av språket behövs

Läs mer

Datorsystem Laboration 2: Minnesmappade bussar

Datorsystem Laboration 2: Minnesmappade bussar Datorsystem Laboration 2: Minnesmappade bussar Senast uppdaterad: 14 oktober 2012 Version 1.2 Student: Lärare: Underskrift: Underskrift: Datum: Datorsystem Laboration 2 1 Innehåll 1 Inledning 2 1.1 Introduktion..................................

Läs mer

Lathund Spåra ändringar

Lathund Spåra ändringar Lathund Spåra ändringar Word 2010 Av språkkonsultstudenterna Maria Burström, Karin Jonsson Sandström, Anna Tillas-Lindberg Oktober 2013 Innehåll 1. Aktivera funktionen... 3 1.1 Inställningar... 3 2. Redigera...

Läs mer

Eftersom det endast är en fristående strömslinga man påverkar då man trycker på knappen säger man att omkopplaren i bild 1 är en enpolig omkopplare.

Eftersom det endast är en fristående strömslinga man påverkar då man trycker på knappen säger man att omkopplaren i bild 1 är en enpolig omkopplare. Olika Switchar Vi ska titta på hur man ordnar äkta bypass med hjälp av en så kallad trepolig till-till fotomkopplare eller, som man också säger, en 3PDT switch. På vägen ska vi titta på några vanliga switchar

Läs mer

Lösa problem med utskriftskvaliteten

Lösa problem med utskriftskvaliteten I följande tabeller kan du söka efter lösningar på utskriftsproblem som kan inträffa. Kontakta inköpsstället om du inte kan lösa problemet. Du kan ha en skrivardel som behöver rengöras eller ersättas av

Läs mer

Uppgift 12: Konstruera en elektronisk tärning. Resultatet av ett tärningskast ska visas på en 7- segmentindikator.

Uppgift 12: Konstruera en elektronisk tärning. Resultatet av ett tärningskast ska visas på en 7- segmentindikator. Uppgift 12: Konstruera en elektronisk tärning. Resultatet av ett tärningskast ska visas på en 7- segmentindikator. Tärningen ska ha två utfallsrum: U 1 = {1, 2, 3, 4, 5, 6, 6, 6} U 2 = {1, 2, 3, 4, 5,

Läs mer

Tentamen i Digitalteknik, EITF65

Tentamen i Digitalteknik, EITF65 Elektro- och informationsteknik Tentamen i Digitalteknik, EITF65 3 januari 2018, kl. 14-19 Skriv anonymkod och identifierare, eller personnummer, på alla papper. Börja en ny uppgift på ett nytt papper.

Läs mer

Växtviskaren EITF11 Digitala projekt VT15, I12

Växtviskaren EITF11 Digitala projekt VT15, I12 Växtviskaren EITF11DigitalaprojektVT15,I12 NathalieLiljebrunn,EbbaRiismark,AnnaNorelius LundsTekniskaHögskola Institutionenförelektro ochinformationsteknik Handledare:BertilLindvall,AndreasJohansson 2015

Läs mer

Kennelklubbens Omakoira-medlemstjänst Instruktion angående adresslistor för kenneldistrikten

Kennelklubbens Omakoira-medlemstjänst Instruktion angående adresslistor för kenneldistrikten Kennelklubbens Omakoira-medlemstjänst Instruktion angående adresslistor för kenneldistrikten Finska Kennelklubben 8.9.2014 2(10) Adresslistor för kenneldistrikten Innehåll: Allmänt... 3 Att ta fram listor...

Läs mer

Digitala Projekt (EITF11)

Digitala Projekt (EITF11) Digitala Projekt (EITF11) Temperaturgivare med larm Handledare: Bertil Lindvall 2014-05-20 Erik Hellered, I-11 Andreas Sjöblom, I-11 Philip Dahlström, I-11 Table of Contents Inledning... 1 Kravspecifikation...

Läs mer

Övningsuppgifterna i kapitel F avser FLIS-processorn, vars instruktioner och motsvarande koder definieras i INSTRUKTIONSLISTA FÖR FLISP.

Övningsuppgifterna i kapitel F avser FLIS-processorn, vars instruktioner och motsvarande koder definieras i INSTRUKTIONSLISTA FÖR FLISP. Övningsuppgifter Övningsuppgifterna i kapitel F avser FLIS-processorn, vars instruktioner och motsvarande koder definieras i INSTRUKTIONSLISTA FÖR FLISP. F.2 Ett antal på varandra följande minnesord har

Läs mer

Innehållsförteckning

Innehållsförteckning BRUKSANVISNING S8 s8bruks.doc Innehållsförteckning 1. System S8...2 2.0 Handhavande för kontrollenhet med lysdioder...3 2.1 Koppla till anläggningen...3 2.1.1 Om anläggningen inte går att koppla till...3

Läs mer

UMEÅ UNIVERSITET 26 april 2002 Instutionen för datavetenskap. Grafproblem. Laboration 4, Datastrukturer och Algoritmer VT02

UMEÅ UNIVERSITET 26 april 2002 Instutionen för datavetenskap. Grafproblem. Laboration 4, Datastrukturer och Algoritmer VT02 UMEÅ UNIVERSITET 26 april 2002 Instutionen för datavetenskap Grafproblem Laboration 4, Datastrukturer och Algoritmer VT02 Laboration 4 - grafproblem Förpackningsdatum: Denna lab-spec är senast ändrad:

Läs mer

Säkerhetsreläer Zander Aachen TALOS. Säkerhetssystemet med plug & play. Komponenter för automation. Nordela

Säkerhetsreläer Zander Aachen TALOS. Säkerhetssystemet med plug & play. Komponenter för automation. Nordela Säkerhetsreläer Zander Aachen TALOS Säkerhetssystemet med plug & play Komponenter för automation Nordela TALOS - säkerhetssystemet TALOS - säkerhet för alla behov Hos mellanstora till stora maskiner och

Läs mer

OM KRITERIER av Emelie Johnson Vegh och Eva Bertilsson, publicerad i Canis 2004

OM KRITERIER av Emelie Johnson Vegh och Eva Bertilsson, publicerad i Canis 2004 OM KRITERIER av Emelie Johnson Vegh och Eva Bertilsson, publicerad i Canis 2004 Ibland dyker det upp ord som är så fantastiskt användbara och bra att man inte kan begripa hur man någonsin klarat sig utan

Läs mer

Lathund för att arbeta med pdf

Lathund för att arbeta med pdf Lathund för att arbeta med pdf Till Riksstämman 2016 är alla handlingar digitala, närmare bestämt i PDF-format. I den här lathunden får du som använder en PC/Mac tips och råd om hur du lättast går tillväga

Läs mer

Tentaupplägg denna gång

Tentaupplägg denna gång Några tips på vägen kanske kan vara bra. Tentaupplägg denna gång TIPS 1: Läs igenom ALLA uppgifterna och välj den du känner att det är den lättaste först. Det kan gärna ta 10-20 minuter. Försök skriva

Läs mer

SuperNova BabyCall. Bruksanvisning. Art nr: 221 810

SuperNova BabyCall. Bruksanvisning. Art nr: 221 810 Bruksanvisning SuperNova BabyCall Art nr: 221 810 Abilia AB, Kung Hans väg 3, Sollentuna, Box 92, 191 22 Sollentuna, Sweden Phone +46 (0)8-594 694 00 Fax +46 (0)8-594 694 19 info@abilia.se www.abilia.se

Läs mer

Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas

Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas Tentamen i ET1014 Introduktion till elektroteknik och styrteknik 7.5 hp 2012-08-22 14.00-18.00, Sal Sxxx Hjälpmedel: Miniräknare, formelblad (bifogad)

Läs mer

Snabbguide. Telia Maxi 10. Bruksanvisning. Ställa klockan Se sid 5. Viloläge. Du har bläddrat fram en påringning 16 LZTA 804 5013, 98-04

Snabbguide. Telia Maxi 10. Bruksanvisning. Ställa klockan Se sid 5. Viloläge. Du har bläddrat fram en påringning 16 LZTA 804 5013, 98-04 Snabbguide Ställa klockan Se sid 5. Viloläge Någon har ringt Antal nya (ej avlästa) påringningar Se den senaste påringningen Aktuellt datum och tid Du har bläddrat fram en påringning Påringningens löpnummer

Läs mer

Checklista för kognitiv tillgänglighet

Checklista för kognitiv tillgänglighet Checklista för kognitiv tillgänglighet Handledning Checklistan är gjord för att underlätta arbetet med kognitiv tillgänglighet på din enhet. Checklistan består av två delar: denna handledning och ett formulär.

Läs mer

Frågor - Högstadiet. Grupp 1. Jetline. Hur låter det när tåget dras uppför första backen? Vad beror det på? (Tips finns vid teknikbordet)

Frågor - Högstadiet. Grupp 1. Jetline. Hur låter det när tåget dras uppför första backen? Vad beror det på? (Tips finns vid teknikbordet) Grupp 1 Jetline Mät och räkna: Före eller efter: Hur låter det när tåget dras uppför första backen? Vad beror det på? (Tips finns vid teknikbordet) Var under turen känner du dig tyngst? Lättast? Spelar

Läs mer

e-sense move dali Manual

e-sense move dali Manual e-sense move dali Manual Innehåll Moves grundläggande funktionssätt...4 5 Programmera RF-signalen...6 7 Ta bort RF-signalen...8 Förinställda scenarion...9 Alternativ för mottagande av RF-signaler...10

Läs mer

Labbrapport: HTML och CSS

Labbrapport: HTML och CSS Labbrapport: HTML och CSS Utförd av: Natalie Olmosse Mailadress: natalie.olmosse@gmail.com Datum: 2015 12 18 Kursansvarig: Karin Fahlquist Övriga lärare: Ulrik Söderström Första inlämningen Resultat (Godkänd/Retur):

Läs mer

QlikView - Lathund för Flödesmodellen bas

QlikView - Lathund för Flödesmodellen bas QlikView - Lathund för Flödesmodellen bas För att komma åt en applikation i QlikView (hädanefter QV) krävs QV-pluginlicens samt behörighet till applikationen. Beställning av både licens och behörighet

Läs mer

Tentamen i TTIT07 Diskreta Strukturer

Tentamen i TTIT07 Diskreta Strukturer Tentamen i TTIT07 Diskreta Strukturer 2004-10-28, kl 8 13, TER1 och TERC Inga hjälpmedel är tillåtna Kom ihåg att svaren på samtliga uppgifter måste MOTIVERAS, och att motiveringarna skall vara uppställda

Läs mer

RödGrön-spelet Av: Jonas Hall. Högstadiet. Tid: 40-120 minuter beroende på variant Material: TI-82/83/84 samt tärningar

RödGrön-spelet Av: Jonas Hall. Högstadiet. Tid: 40-120 minuter beroende på variant Material: TI-82/83/84 samt tärningar Aktivitetsbeskrivning Denna aktivitet är utformat som ett spel som spelas av en grupp elever. En elev i taget agerar Gömmare och de andra är Gissare. Den som är gömmare lagrar (gömmer) tal i några av räknarens

Läs mer

Laboration 2 Grunderna i Photoshop

Laboration 2 Grunderna i Photoshop Mitthögskolan Institutionen för Informationsteknologi och medier. Jan-Erik Jonsson 060-14 87 90 Laboration 2 Grunderna i Photoshop Interaktiva multimedier v1.0 2001-11-27 lab_2.doc Sida 2/5 Allmänt Materialet

Läs mer

T1-modulen Lektionerna 10-12. Radioamatörkurs OH6AG - 2011 OH6AG. Bearbetning och översättning: Thomas Anderssén, OH6NT Heikki Lahtivirta, OH2LH

T1-modulen Lektionerna 10-12. Radioamatörkurs OH6AG - 2011 OH6AG. Bearbetning och översättning: Thomas Anderssén, OH6NT Heikki Lahtivirta, OH2LH T1-modulen Lektionerna 10-12 Radioamatörkurs OH6AG - 2011 Bearbetning och översättning: Thomas Anderssén, OH6NT Original: Heikki Lahtivirta, OH2LH 1 Logikkretsar Logikkretsarna är digitala mikrokretsar.

Läs mer

Digital Display VDS / Bus2

Digital Display VDS / Bus2 3-7449 Digital Display & 3-7447 Digital Knappsats (ref.99622) Se hemsida / support för senaste manualerna. http://www.axema.se/ Ver. 1.2 axema Sida 1 Ändra språk till Svenska. Tryck 0 och efter det ange

Läs mer

Kurvlängd och geometri på en sfärisk yta

Kurvlängd och geometri på en sfärisk yta 325 Kurvlängd och geometri på en sfärisk yta Peter Sjögren Göteborgs Universitet 1. Inledning. Geometrin på en sfärisk yta liknar planets geometri, med flera intressanta skillnader. Som vi skall se nedan,

Läs mer

S ANSLUTNINGAR KOPPLINGSPLINT CN1

S ANSLUTNINGAR KOPPLINGSPLINT CN1 ELEKTRONIKSKÅP LRX 2035 READER Enfas elektronikskåp för automatikstyrning av vipportar och jalusiportar med inbyggd radiomottagare och möjligheten till anslutning av 1 eller 2 beröringsfria läsare BeSAFE

Läs mer

SLALOMINGÅNGAR hur svårt kan det vara?

SLALOMINGÅNGAR hur svårt kan det vara? SLALOMINGÅNGAR hur svårt kan det vara? Av Marie Hansson Ju mer man börjar tänka på vad en slalomingång innebär, desto mer komplicerat blir det! Det är inte lite vi begär att hundarna ska lära sig och hålla

Läs mer

Programvara. A faire Modul 1 utgång Till/Från Elektriska/mekaniska egenskaper: se produktens användarhandbok

Programvara. A faire Modul 1 utgång Till/Från Elektriska/mekaniska egenskaper: se produktens användarhandbok Programvara A faire Modul 1 utgång Till/Från Elektriska/mekaniska egenskaper: se produktens användarhandbok Produktreferens Produktbeskrivning Programvarans ref TP-anordning Radioanordning TXB601B 1 utgång

Läs mer

Tentaupplägg denna gång

Tentaupplägg denna gång Några tips på vägen kanske kan vara bra. Tentaupplägg denna gång TIPS 1: Läs igenom ALLA uppgifterna och välj den du känner att det är den lättaste först. Det kan gärna ta 10-20 minuter. Försök skriva

Läs mer

Referens till. WeavePoint 6 Mini

Referens till. WeavePoint 6 Mini Referens till WeavePoint 6 Mini Arkiv Öppna Välj Arkiv Öppna eller klicka på snabbknappen Öppna för att komma till dialogrutan Öppna. Du kan öppna ett av de senaste mönstren du arbetat med genom att klicka

Läs mer

Programmering av stegmotorer ett miniprojekt i samarbete med Svensk Maskinprovning

Programmering av stegmotorer ett miniprojekt i samarbete med Svensk Maskinprovning Programmering av stegmotorer ett miniprojekt i samarbete med Svensk Maskinprovning Daniel Leonardsson dale0010@student.umu.se Kajsa Persson kape0038@student.umu.se I samarbete med Svensk Maskinprovning,

Läs mer

LYCKA TILL! För ytterligare information: Annamari Jääskeläinen Ungdomsansvarig. Finlands Handbollförbund

LYCKA TILL! För ytterligare information: Annamari Jääskeläinen Ungdomsansvarig. Finlands Handbollförbund Det är meningen att utföra teknikmärket som en del av handbollsspelarens vardagliga träning. Det är meningen att utföra övningarna på träningar under tränarens ledning. Man behöver inte gå igenom alla

Läs mer

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp Institutionen för elektro- och informationsteknik Campus Helsingborg, LTH 2016-12-22 8.00-13.00 Uppgifterna i tentamen ger totalt 60

Läs mer

A-del motsvarande KS1

A-del motsvarande KS1 MÄLARDALENS HÖGSKOLA Institutionen för elektroteknik Tentamen Mikrodatorteknik CT3760 Datum 2005-10-28 Tid 08.30 12.30 Svar till A- och B-del A-del motsvarande KS1 Uppgift A1. Vad blir resultatet då instruktionen

Läs mer

Modbus. Supportdokument. Lathund för att använda Modbus över RS XXX eller TCP/IP.

Modbus. Supportdokument. Lathund för att använda Modbus över RS XXX eller TCP/IP. Supportdokument Modbus Lathund för att använda Modbus över RS XXX eller TCP/IP. Synpunkter, felaktigheter, önskemål etc. för dokumentet meddelas Fil: Malthe_LHA T_Modbus.docx Innehållsförteckning 1. Allmänt...

Läs mer

Del 2 Monteringsanvisning motor. Boxline Modern Ribbline Futura (Basic)

Del 2 Monteringsanvisning motor. Boxline Modern Ribbline Futura (Basic) Del 2 Monteringsanvisning motor Boxline Modern Ribbline Futura (Basic) Montering motor Ta fram frikopplaren och studera dess funktion. Frikopplaren löper i kedjehusets spår och "klickas" i sitt läge. Dra

Läs mer

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Institutionen för elektro- och informationsteknik Campus Helsingborg, LTH 2018-01-09 8.00-13.00 (förlängd 14.00) Uppgifterna i tentamen

Läs mer

Bruksanvisning. Trådlöst kopplingsuttag FS20ST

Bruksanvisning. Trådlöst kopplingsuttag FS20ST Sidan 1 Bruksanvisning Trådlöst kopplingsuttag FS20ST Artikelnummer: 623004 Denna bruksanvisning avser denna produkt, och innehåller viktig information om driftsättning och handhavande. Spara därför denna

Läs mer

Grafer. 1 Grafer. Grunder i matematik och logik (2015) 1.1 Oriktade grafer. Marco Kuhlmann

Grafer. 1 Grafer. Grunder i matematik och logik (2015) 1.1 Oriktade grafer. Marco Kuhlmann Marco Kuhlmann 1 En graf är en struktur av prickar förbundna med streck. Ett tidsenligt exempel på en sådan struktur är ett social nätverk, där prickarna motsvarar personer och en streck mellan två prickar

Läs mer

STÄNG AV FÖNSTER. Regler FLAGGSPECTRUM I FLAGGSPECTRUM II FLAGGSPECTRUM III FLAGGSPECTRUM STJÄRNSPEL

STÄNG AV FÖNSTER. Regler FLAGGSPECTRUM I FLAGGSPECTRUM II FLAGGSPECTRUM III FLAGGSPECTRUM STJÄRNSPEL Sivu 1/5 STÄNG AV FÖNSTER Regler FLAGGSPECTRUM I FLAGGSPECTRUM II FLAGGSPECTRUM III FLAGGSPECTRUM STJÄRNSPEL Ett spännande sätt att lära sig känna igen länder och huvudstäder. Ett utomordentligt kännetecken

Läs mer

Att ansluta en fastighet till Karlstads Stadsnät och bygga ett fastighetsnät. www.stadsnat.karlstad.se

Att ansluta en fastighet till Karlstads Stadsnät och bygga ett fastighetsnät. www.stadsnat.karlstad.se Att ansluta en fastighet till Karlstads Stadsnät och bygga ett fastighetsnät www.stadsnat.karlstad.se Inledning Att ansluta en fastighet till Karlstads Stadsnät innebär att i stort sett få obegränsade

Läs mer

Om include-filer i PHP

Om include-filer i PHP Programmering för webben! 1 Om include-filer i PHP För att få bättre struktur och slippa skriva vanligt förekommande kod flera gånger använder man ofta include-filer i PHP. Här kommer en kort beskrivning

Läs mer