Styrprogram till PICprocessorer. fjärrmanövrerad relämatris i distanslaboratorier. Eric Eskilsson

Storlek: px
Starta visningen från sidan:

Download "Styrprogram till PICprocessorer. fjärrmanövrerad relämatris i distanslaboratorier. Eric Eskilsson"

Transkript

1 Styrprogram till PICprocessorer för fjärrmanövrerad relämatris i distanslaboratorier Eric Eskilsson

2

3 Examensarbete BEE08:05 Styrprogram till PICprocessorer för fjärrmanövrerad relämatris i distanslaboratorier Eric Eskilsson This thesis is presented as part of Degree of Bachelor of Science in Electrical Engineering Blekinge Institute of Technology Augusti 2008 Blekinge Institute of Technology School of Engineering Department of Applied Signal Processing Supervisor: Anders Johansson Examiner: Anders Johansson

4

5 Abstract The aims with this report are to document the upgrade and development of new software for the distance laboratory at Blekinge Tekniska Högskola. The work has been focused on implementing the identification number in a microcontroller, to read its data from a so called look-up table and to be able to control digital components through a serial bus (SPI). The look-up table is a list of data from which the software reads data for the different types of printed circuit boards in the hardware component of the distance laboratory is in focus. The results of the report are an implemented, upgraded version of the software and all three aims has been accomplished. Sammanfattning Syftet med detta arbete har varit att utveckla och uppgradera en ny mjukvara till distanslaboaratoriet på Blekinge Tekniska Högskola, genom att implementera adressen till en microcontroller, att läsa dess data från en så kallad look-up table, samt att kunna styra digitala komponenter med en seriell buss (SPI). Fokus i programmeringen har legat på look-up table, vilket är en datalista som mjukvaran läser funktionsdata från för de olika korttyperna i matrisen. Resultatet är en implementerad, uppgraderad version av mjukvaran och alla tre målen har gått att genomföra.

6 Innehållsförteckning 1. Inledning Bakgrund Syfte Metod Funktionsbeskrivning Kommunikationsprotokoll Den primära styrenheten Den sekundära styrenheten Digital potentiometer Programmet till PIC16F Slutsats/Diskussion 12 Källförteckning...13 Bilaga Bilaga Bilaga Bilaga Tabell...25

7 1 Inledning 1.1 Bakgrund Laborationer ingår vanligtvis i elektronikkurser på högskolor och universitet. Laborationerna utförs i övningslaboratorier utrustade med instrument och nödvändig utrustning. Med en utveckling av ett allt snabbare Internet ges nya möjligheter att fjärrstyra experiment och dessa beprövade undervisningsmetoder kan implementeras på nytt sätt i så kallade distanslaboratorier. Lärosäten utan tillgång till övningslaboratorier kan via ett distanslaboratorium erbjuda utbildningar, där laborationer ingår. Erbjudandet kan också riktas till studenter som vill experimentera utanför kontorstid eller personer som har svårt att ta sig till önskat lärosäte. Vid Blekinge Tekniska Högskola, BTH finns ett distanslaboratorium. I laboratoriet ingår bland annat en kopplingsmatris, se figur 1 (s 1). Denna rapport beskriver en ny version av programvaran i matrisen. Användaren ser en bild på ett kopplingsdeck på sin dator och kan bygga upp olika kopplingar på detta. Informationen om kopplingen lagras i en databas, som i sin tur sänder vidare informationen till en mät- och utrustningserver, där de mätinstrument, komponenter och signalkällor som angetts av användaren kopplas in. Figur 1. En övergripande bild av distanslaboratoriet. 1

8 1. 2 Syfte Syftet med arbetet är att utveckla en ny mjukvara till de PIC-kretsar som styr den relämatris vilken sköter inkoppling av olika komponenter och instrument i distanslaboratoriet på Blekinge Tekniska Högskola i Ronneby. Den nya programvaran kommer även att kunna styra nya digitala komponenter med SPI, såsom en digital potentiometer för mätning av operationsförstärkare och en AD/DA-omvandlare. SPI är ett sätt att seriellt skicka data för att styra digitala komponenter. Detta gör att laboratoriet i framtiden kommer att ha möjlighet att kunna utvidga sitt utbud av olika typer av laborationer. I denna nya version, version 4.1, ska mjukvaran läsa data från en datalista, en så kallad look-up table (se bilaga 1, s 14). Datalistans data beskriver de funktioner varje korttyp i matrisen har. I systemet finns två olika typer av processorer. För att skilja på de båda typerna kallas här den processor som fungerar som master den primära styrenheten och övriga som är slavar de sekundära styrenheterna (se Figur 6, s 8). I en tidigare version av programvaran bestämdes identiteten för slavarna med en dip-switch, men i den nya versionen kommer identiteten implementeras i chipet vid programmeringen. En 7-bitars adresskod bestämmer vilken identitet varje processor har Metod Den metod som här används är att utgå från tidigare version av mjukvaran till matrisen och sedan med hjälp av lämpliga datablad, för bland annat processorkretsarna, Internet och diskussioner med handledare ta fram vilka funktioner de olika PIC-processorerna i matrisen ska ha och implementera dem. Därefter testas funktionerna, modifieras och testas på nytt tills önskvärt resultat nås. 2

9 2 Funktionsbeskrivning Matrisens uppgift, i distanslaboratoriet, är att utföra de kopplingar som görs manuellt på en kopplingsplatta i ett elektriskt laboratorium under en laboration, som att göra uppkopplingar med komponenter, till exempel resistorer och utföra mätningar med digitalmultimeter eller oscilloskop när en spänningskälla och signalgenerator har kopplats in i kretsen. För inkopplingen av dessa komponenter och instrument i matrisen används reläer. För att dela upp de olika funktionerna i matrisen, innehåller den tre olika korttyper, (figur 2, s 3). Första korttypen heter huvudkort (Control Board) och sköter inkopplingen av en funktionsgenerator. Följande korrtyp kallas instrumentkort (Connection Board) och kopplar in antingen en digitalmultimeter eller ett oscilloskop, för att få båda funktionerna behövs alltså två instrumentkort. Den tredje korttypen heter komponentkort (Component Board) och ansluter de elektriska komponenter, som man bygger upp kretsar med för att mäta på. Komponentkortet kan ha upp till 10 stycken inkopplingsbara komponenter per kort. Matrisen är skalbar, det vill säga att den kan byggas ut genom att sätta dit ytterligare komponentkort. Alla korten har varsin egen identitet som definieras av en adressbyte (7 bitar). Maximalt 127 kort kan anslutas till matriskopplingen, eftersom 7 digitala bitar används till adressen och adress 000 inte används(2 7-1). Kommunikationen mellan korten sker via I 2 C (se bilaga 4, s17). Figur 2. Matrisen med de tre olika typerna av kort i matrisen. 3

10 Det finns bara ett huvudkort i hela matrisen. Huvudkortet får information från servern via en USB-port och skickar informationen vidare till de övriga korten i matrisen. På huvudkortet sitter den så kallade primära styrenheten, som är en PIC-processor med modellbeteckning PIC18F4550 (se 2.2, s 8). Dess uppgift är att omvandla USB-information till I 2 C i matriskopplingen. På varje kort sitter en sekundär styrenhet, med modellbeteckning PIC16F767, som styr matrisreläer eller styrbara IC-kretsar, till exempel digitala potentiometrar eller AD/DA-omvandlare, vilka kopplas in för de olika mätningarna. På huvudkortet sköter den sekundära styrenheten inkoppling av funktionsgeneratorn och andra spänningskällor. Instrumentkorten finns det två av i denna version, men de kan utökas till flera, då på bekostnad av det maximala antalet komponentkort som kan anslutas. Totalt är det maximalt, som ovan nämnts 127 kort, varav ett är huvudkort och övriga kan antingen vara instrumentkort eller komponentkort. Detta är teoretiska beräkningar för att påvisa möjligheterna. Med många kort i matrisen krävs dock både förstärkning och avstörning för att enheten ska fungera driftsäkert. Instrumentkortens sekundära styrenhet kopplar in ett oscilloskop och en digitalmultimeter (här med BNC-ingångar). Komponentkortet kopplar in komponenter för att kunna mäta och göra olika uppkopplingar. Både komponentkorten och instrumentkorten saknar den primära styrenheten men har den sekundära. På komponentkorten väljer den sekundära styrenheten vilket styrobjekt som ska styras. För det mesta är styrobjekten reläer som ska slå till eller från, men i vissa fall kan det också röra sig om att styra vissa digitala kretsar som exempelvis en digital potentiometer eller en AD/DA-omvandlare. Figur 3. Blockschema för signalvägarna i matrisen. 4

11 Figur 3 (s 4) visar ett blockschema över alla signalvägar. Den primära styrenheten får en digital sträng av bytes från servern i USB-format. Dessa omvandlas i den primära styrenheten till I 2 C och skickas ut till de sekundära styrenheterna som i sin tur styr sina objekt. I detta fallet är det I 2 C-utgången på den primära styrenheten som är ihopkopplad med I 2 C-ingångarna på alla processorer i den sekundära styrenheten. För att välja vilken processor informationen gäller har varje processor en egen adress. 5

12 2.1 Kommunikationsprotokoll Informationen som kommer via USB från servern är uppdelad i bytes och sänds med en adressbyte på 7 bitar. Den 8:e biten är alltid låg eftersom kommunikationen bara går från mastern till slavarna (se bilaga 2, s 15). Efter adressbyten följer datainformationen i två eller tre bytes. Instrumentkorten behöver 3x7 databitar för att styra sina 21 reläer. Tre databytes behöver även de komponentkort, som har digitala komponenter och då skickar SPI-värdet i sista byten. Adressen gäller för det kort där styrobjektet sitter. Varje kort har en specifik identitet, processorns adress i I 2 C-bussen, mellan och (01h 7Fh). Figur 4. Mastern sänder till slavarna. I tabellen nedan visas de tre olika korttyperna, huvud-, instrument- och komponentkort och hur många databyte korttypen behöver. Tabellen (Figur 5, s 7) visar också vilka reläer som är knutna till fälten. 6

13 Huvudkort Byte no. Notes 0 I2C adress Relänummer, satt bit betyder slutet relä Används ej Bit 07 Bit 06 Bit 05 Bit 04 Bit 03 Bit 02 Bit 01 Bit 00 Instrumentkort Byte no. Notes 0 I2C adress Relänummer, satt bit betyder slutet relä Används ej Bit 07 Bit 06 Bit 05 Bit 04 Bit 03 Bit 02 Bit 01 Bit 00 Komponentkort Byte no. Notes 0 I2C adress Relänummer, satt bit betyder slutet relä 3 1 Potentiometervärde Används ej Bit 07 Bit 06 Bit 05 Bit 04 Figur 5. Tabell för vilka reläer som aktiveras på de tre olika korten. Bit 03 Bit 02 Bit 01 Bit 00 7

14 2.2 Den primära styrenheten Den primära styrenheten består av en processor med typbeteckning PIC18F4550. Det är en ganska stor processor med 40 ben. Den kan samtidigt hantera både USB och I 2 C (ben 23, 24 respektive 34,35 se figur 6 s 8), eftersom detta finns implementerat i hårdvaran inuti processorn. Full-speed USB (12 Mbs, mega bit per sekund) tas emot på USB-ingången och utgångarna SCL och SDA sänder vidare informationen i I 2 C-bussen. I denna nya version har den primära styrenheten uppdaterats så att alla utgångar hos den sekundära styrenheten (se 2.3, s 9) nu kan styras, vissa utgångar användes inte tidigare. Kommunikationen mellan USB och I 2 C, som i tidigare version har varit korskopplad, är numera rakkopplad. Figur 6 Den primära styrenheten och två processorer från den sekundära styrenheten. Den övre visar alla möjliga utgångar och den nedre hur SPI ansluts. 8

15 2.3 Den sekundära styrenheten Den sekundära styrenheten består av en processor av typen PIC16F767. Det är en 28-bens processor med 24 in- eller utgångar. Två av dessa är anslutna till I 2 C-bussen (ben 14,15 i figur 6 s 8). De övriga används som utgångar och är kopplade till varsitt relä. Utgång RA4 används ej. Olika många utgångar används beroende på vilka kort reläerna sitter på (huvud-, komponent- eller instrumentkort) och vad kortet gör. Varje PIC16F767 har egen identitet lagrad i processorn, dess egna adress. Är identiteten i första byten rätt läses följande tre bytes in, de två första har värde och den sista Den sista byten används till att styra de sju sista reläerna på instrumentkorten (0-127) eller för att lagra ett SPI-värde (se bilaga 3, s16) för en digital komponent ( ). Bit 7 styr bytens funktion. Byte 4 kan också vara utan värde på komponentkort utan digital potentiometer och på huvudkortet. De båda första bytens värden jämförs med en Look-up table (se bilaga 1, s 14), en lista som är individuell för de tre olika korten. Vissa komponentkort har en digital potentiometer som används vid speciella kopplingar. Denna potentiometer styrs med SPI-kommunikation. PIC16 har både I 2 C-kommunikation och SPI-kommunikation implementerat i hårdvaran inuti kretsen (se bilaga 3, s16). Tyvärr sker denna kommunikation på samma ben och man får därför välja att antingen använda I 2 C eller SPI. Eftersom I 2 C-kommunikationen är mer omfattande används den på de nämnda benen på PIC-kretsen (ben 14 och 15 se figur 6, s 8). SPI-kommunikationen emuleras istället i mjukvara. Ben 26, 27 och 28 på den sekundära styrenheten används för SPI-kommunikation. CS-signalen tas ut på ben 28 (RB7). Den är låg då potentiometern ställs in och hög annars. Ben 27 (RB6) är CLK på potentiometern, SPI ns klocka. På ben 26 kommer det nya digitala värdet som ska ställas in, till ingång SDI på den digitala potentiometern (se 2.4, s 10). 9

16 2.4 Digital potentiometer Den digitala potentiometern har typbeteckningen AD7376. Det är en digital potentiometer med dubbla matningar ( 15V). Det innebär att man kan mata in 30 volt mellan potentiometerns ytterändar (anslutning A och B, figur 7, s 10) och ta ut 0-30 V på mittbenet (W). Figur 7. Den digitala potentiometern. AD7376 finns i fyra olika utföranden, beroende på vilken maxresistans man vill ha. Resistanserna är 10 kohm, 50 kohm, 100 kohm (se tabell s 25) (eller 1 Mohm). Resistansen ställs sedan in med 128 steg med formeln: Figur 8. Formel för uträkning av potentiometerns värden. D är det inställda bitvärdet (0-127) och RAB totalresistansen för potentiometern (10 kohm, 50 kohm, 100 kohm eller 1Mohm). RW är en inre resistans som är ungefär 120 ohm (se tabell, s 25). Potentiometern styrs via ett 3-tråds SPI-gränssnitt (se Bilaga 3, s 16). Ett dataord till potentiometern består av 7 bitar. Datan skickas seriellt med den mest signifikanta biten (MSB) först. När alla nya bitar har laddats in antar potentiometern det nya värdet. 10

17 3. Programmet till PIC16F767 Programmet till den sekundära styrenheten är uppdelat i följande delar: Initialisering Huvudprogrammet Interrupt Läsa buffert Koda av och sätta utgångar Look-up table SPI Flödesschemat nedan (figur 9, s 11) visar att programmet börjar med att initialisera. I initialiseringen bestäms vilka utgångar som ska användas. I detta programmet används alla. Här ställs också in vilken typ av avbrottsrutin som ska användas, vilken klockfrekvens processorn ska jobba med samt övrig data som behövs för att processorn ska fungera riktigt. I initialiseringen finns också den specifika adress som PIC16F767 svarar på i I 2 C-bussen implementerad. Start Start Initialisering Spara i BUF A-C Stop Ny data i J Koda av data i BUF A-C? look-up table N Sätt utgång Figur 9. Flödesschema över programmet för PIC16F767 Huvudprogrammet går i en oändlig loop så länge ingen data kommer i I 2 C-bussen, det vill säga nej i alternativrutan i flödesschemat. Kommer det data känner avbrottsrutinen av det och sparar, om adressen stämmer, första databyten i BUFA, andra i BUFB och tredje sparas i BUFC. Denna data kodas av med hjälp av en look-up table, en datalista (se bilaga 1, s 14) och utgångarna RA-RC bestäms. SPI-data läses bit för bit från BUFC och skickas seriellt med mest signifikanta bit först (se 2.3, s 9). 11

18 4. Slutsats/Diskussion Syftet med arbetet har varit att utveckla och uppgradera en ny mjukvara genom att implementera identiteten i processorerna som finns i matrisen. Man ska också kunna läsa dess data från en så kallad look-up table, ett register varifrån data kan hämtas, samt kunna styra digitala komponenter med SPI, ett sätt att styra och ändra värde på digitala komponenter, med den. Man kan lätt byta till en ny look-up table för att ge en processor nya funktioner. SPIfunktionen har testats på en digital potentiometer (AD7376), där den fungerade mycket bra. Skillnaden gentemot andra SPI-styrda komponenter ligger inte i själva styrningen utan vad de i övrigt används till. Att implementera identitet i mjukvara har fördelen att det inte behövs några extra ingångar för att sätta en ny identitet, som i tidigare version. Benen för dessa ingångar blir nu lediga att kunna användas som utgångar istället. Det behövs också färre kringkomponenter. Nackdelen kan vara att det inte syns på kretsen vilken identitet den har. Eftersom det handlar om en uppgradering av mjukvaran, visste jag att den i grundutförande skulle fungera. Look-up table var den punkt jag från början trodde skulle vara svårast att genomföra, eftersom jag aldrig tidigare hade jobbat med en sådan och därför inte visste hur jag läste data från den. Därför är det den av punkterna som har ägnats mest tid. Naturligtvis kommer det hela tiden ny teknik och nya lösningar, men idagsläget tycker jag att matrisen är fullt utvecklad. 12

19 Källförteckning Internetlänkar: Bilder till framsidan och collage av författaren samt från (5/3-08, 10.00) Datablad från Microchip för PIC18F4550 USB Microcontrollers ww1.microchip.com/downloads/en/devicedoc/39632b.pdf (18/4-08, 14.00) Datablad från Microchip för PIC16F767 8-Bit CMOS Flash Microcontrollers ww1.microchip.com/downloads/en/devicedoc/30498c.pdf (18/4-08, 14.00) Datablad från Analog Devices för AD Bit digital potentiometer (18/4-08, 14.00) Bild från (7/5-08, 18.00) 13

20 Bilaga 1 Look-up table En så kallad look-up table är ett register eller tabell med data där man kan hämta information. En sådan tabell kan ses i vänster nederkant på Figur 10, nedan. Bitarna i varje byte motsvarar reläer, bit 0 i byte 1 är relä 1, bit1 är relä 2 och så vidare (se övre delen på bilden). I exemplet på bilden är bit 0, 2 och 5 ettor i byte 1, vilket betyder att relä 1, 3 och 6 ska slutas. På platserna 0, 2 och 5 i tabellen läses först de två värdena A och 3, för position 0 (relä1) och sedan A1 och A7. Det betyder att utgång A3, A1 och A7 ska vara höga. Till höger på bilden ser man att det motsvarar utgångarna för relä1, relä3 och relä6, vilka enligt exemplet var ämnade till att dras. Figur 10. Look-up table, en bit detekteras och ett relä dras. Listan komponeras speciellt till den korttyp den används till. Det är lätt att ändra funktioner för PIC16F767 genom att bara justeras värdena i listan. Listan är alltid lika lång. De platser som inte nyttjas markeras med NULL, så ignoreras den. För mjukvaran är det denna lista som skiljer de olika kortens funktioner åt och där deklareras vilka utgångar som ska aktiveras. På instrumentkorten används sista byten i denna Look-up table för att styra SPI-porten. 14

21 Bilaga 2 I 2 C-Protokoll Inter-Integrated Circuit (I 2 C) är ett sätt att kommunicera med två aktiva trådar, SCL (serial clock line) och SDA (serial data line), i en buss mellan en master, den ic-krets som styr kommunikationen, och en eller flera slavar, övriga kretsar som är parallellkopplade till bussen se bild nedan. Kommunikationen kan ske både i riktning från master till slav och tvärtom. I denna applikation sänder endast mastern. Figur 11. SPI-buss med en master längst till höger och övriga slavar. Sändningen börjar med en startbit, SDA går låg och SCL är hög. Därefter sänds 7 adressbitar för att specificera vilken slav informationen är ämnad för. Om sista biten i adressen är 0 sänder mastern och är den 1 tar mastern emot från slavarna. Sedan följer databytes. I vårt fall 2 eller 3 stycken, men allmänt tills en stoppbit sänds. Stoppbiten avslutar sändningen och detekteras av att SDA går hög och SCL är hög, se bild. Figur 12. Start- och stopp-pulser för SPI. Både adressbyte och databyte sänds med mest signifikanta biten först (MSB). 15

22 Bilaga 3 SPI-Protokoll Serial Peripheral Interface (SPI) är en defactostandard för att styra digitala komponenter. För att styra komponenterna krävs tre trådar, CLK (clock), CS (chip select) och SDI (serial data in). Informationen skickas seriellt i en grupp om 7 bitar. Under sändningen är CS låg och datan skickas med den mest signifikanta biten (MSB) först in på SDI och läses av på CLK s positiva flank. Det innebär att klockan styr avläsningen av data och gör kommunikationen okännslig för osynkroniserad klockning. När de 7 bitarna har sänts går CS hög igen och komponenten intar det nya värdet. Figur 12 Figur 13. Klockpuls(CLK), Data(SPI) och manöver(cs). För att styra flera komponenter med SPI kan man koppla ihop dem i en så kallad daisy chain. Då är CLK och CS parallellt kopplade till varje komponent och utgången (SDO) från första komponenten är kopplad till ingången (SDI) på nästa komponent och så vidare. Datasträngen kommer då att addereas med 7 bitar för varje extra komponent. Styr man till exempel 3 stycken digitala reistorer så blir datasträngen 21 bitar lång. De 7 första bitarna gäller den komponent som är längst bort i kedjan och de 7 sista för den närmast. 16

23 Bilaga 4 Detaljerad programvarubeskrivning 2.1 IOINIT Funktioner Reset av registerna PORTA, PORTB, PORTC, TRISA, TRISB och FLAGS. PORTA, PORTB och PORTC är portanslutningar till processorn. TRISA och TRISB gör alla ben i PORTA och PORTB till utgångar. FLAGS är en markör för vilka byte som kommit in från I 2 C-bussen och vilka som har lästs. PORTA = 0 PORTB = 0 PORTC = 0 TRISA = 0 TRISB = 0 FLAGS = 0 PORTA PORTB PORTC TRISA TRISB FLAGS Funktioner Alla anslutningar till PORTA och PORTB sätts här till digitala in- och utgångar (bit 0-3). Det finns 16 valmöjlighet (0-F) att välja antal analoga ingångar istället för digitala I/O. Bit 4-7 (här 0000) säger att de 6 minst signifikanta bitarna i ADRESL läses som 0, A/D Clock divideras inte med 2, VREF- är anluten till VSS och VREF+ till VDD. ADCON1 = 0Fh ADCON Funktioner Komparatormodulen i processorn används inte och är avstängd. 17

24 CMCON = 07h CMCON Funktioner Anslutningarna till PORTC är alla utgångar utom de 2 ingångarna till I 2 C (ben 14, 15). TRISC = 18h TRISC Funktioner Anslutning RE3 är ingång. Register TRISE är i övrigt satt i defaultläge. TRISE = 08h TRISE Funktioner MatrixLevel bestämmer processoradressen. Processorns verkliga adress är 2x(Matrixlevel + 32), vilket ger jämna adresser mellan 64 och 126 (40h-7Eh), 32 möjliga adresser. MatrixLevel = 00h 1Fh MatrixLevel Funktioner Bit 6-4 (här 7) visar att sändningshastigheten är max 8MHz. Systemet drivs inte från den primära systemklockan utan från oscillator TIMER1 eller INTRC som en sekundär systemklocka. Frekvensen är stabiliserad. Oscillator mode definieras av FOSV<2:0>. OSCCON = 74h OSCCON 18

25 2.1.8 Funktioner Stödjer; Synchronous Serial Port Interrupt (SSP). Stödjer ej; Parallell Slave Port Interrupt (PSP) read/write interrupt, A/D Converter interrupt, AUSART receive interrupt, CCP1 interrupt, TMR2 to PR2 interrupt, TMR1 overflow interrupt. PIE1 = 08h PIE Funktioner Inget skydd för collision och overflow. Serieporten är konfigurerad för I 2 C och klockas från mastern. Processorn är en slav med 7-bitars adress. SSPCON = 36h SSPCON Funktioner Global Interrupt och Peripfheral Interrupt används. INTCON = C0h INTCON Funktioner I2CADD är den valda adressen (Matrixlevel) + 32 (20h). Multipliceras med 2 för att bli processorns adress (SSPADD). I2CADD = Matrixlevel + 20h I2CADD Matrixlevel Funktioner 19

26 Processorns adress SSPADD = 2* I2CADD SSPADD I2CADD 2.2 I2CMGR Funktioner I2CMGR och MAIN är den default-slinga som programmet normalt går i när det inte är avbrott eller programmet exikverar volka utgångar som ska sättas. Då avbrott skett känner I2CMGR av om något mer är på gång i I 2 C-bussen och fäller annars den satta flaggan FLAG,7. Om SSPSTAT, P = 1 FLAGS,7 = 0 SSPSTAT, P FLAGS,7 2.3 T Funktioner Första databyten DPA läses av bit för bit och avkodas. Sätter en etta i registrer BUFA, BUFB eller BUFC, enligt Lookup_Table[n] (0 <= n <= 6) i positionerna Lookup_Table[n+15] (Lookup_Table[n+21] för instrumentkortet). Övriga positioner i BUFA, BUFB och BUFC är noll. Bit 4 i register FLAGS nollställs som indikering att byten har lästs och ny data kan sparas i register DPA. Om FLAGS,4 =1 0 <= n <= 6 Lookup_Table[n], Lookup_Table[n+15] (Gäller Source & Component) Lookup_Table[n], Lookup_Table[n+21] (Gäller Instrument) FLAGS,4 = 0 20

27 Lookup_Table[n] FLAGS,4 n 2.4 T Funktioner Andra databyten DPB läses av bit för bit och avkodas. Sätter en etta i registrer BUFA, BUFB eller BUFC, enligt Lookup_Table[n] (0 <= n <= 6) i positionerna Lookup_Table[n+15] (Lookup_Table[n+21] för instrumentkortet). Övriga positioner i BUFA, BUFB och BUFC är noll. Bit 5 i register FLAGS nollställs som indikering att byten har lästs och ny data kan sparas i register DPB. Om FLAGS,5 =1 7 <= n <= 13 Lookup_Table[n], Lookup_Table[n+15] (Gäller Source & Component) Lookup_Table[n], Lookup_Table[n+21] (Gäller Instrument) FLAGS,5 = 0 Lookup_Table[n] FLAGS,5 n 2.5 T Funktioner Tredje databyten DPC läses av bit 7. Är bit 7 en etta läses övriga 7 bitar (6-0)som SPIdata (se 2.6 SPI). Är bit 7 nolla läses övriga 7 bitar bit för bit och avkodas. Sätter en etta i registrer BUFA, BUFB eller BUFC, enligt Lookup_Table[n] (0 <= n <= 6) i positionerna Lookup_Table[n+15] (Lookup_Table[n+21] för instrumentkortet). Övriga positioner i BUFA, BUFB och BUFC är noll. Bit 6 i register FLAGS nollställs som indikering att byten har lästs och ny data kan sparas i register DPC. Om FLAGS,6 =1 14 <= n <= 20 21

28 Lookup_Table[n], Lookup_Table[n+21] (Om DPC,7 = 0) Se 2.6 SPI (Om DPC,7 = 1) FLAGS,6 = 0 PORTA = BUFA PORTB = BUFB PORTC = BUFC Lookup_Table[n] FLAGS,6 n PORTA PORTB PORTC BUFA BUFB BUFC 2.6 SPI Funktioner Utgångarna 5, 6 och 7 i PORTB sätts till noll (reset för SPI-utgångarna). Bitarna i register DPC (tredje databyten från I 2 C-bussen) skickas, med mest signifikanta biten först (bit 6), seriellt på utgång 5 i PORTB (SDI dataingång) och klockas med utgång 6 i PORTB (CLK). Under hela sändningen av byten är utgång 7 (CS) nolla. Då hela byten har sänts går utgång 7 i PORTB hög igen och först då antar den digitala komponenten sitt nya värde. PORTB = PORTB && 1Fh 0 <= n <= 6 Om DPC,(6-n) = 0 PORTB = PORTB 5Fh PORTB = PORTB && 3Fh Om DPC,(6-n) = 1 PORTB = PORTB 3Fh PORTB = PORTB 7Fh PORTB = PORTB && 3Fh PORTB = PORTB 9Fh (När n=6) 22

29 DPC PORTB 2.7 ISR Funktioner När interrupt (avbrott) sker lagras datan som finns i arbetsregistret W i register WSAVE tills avbrottsrutinen är klar och arbetsregistret hämtar tillbaka datan i WSAVE och programmet fortsätter där det var innan avbrottet. INTCON,GIE sätts till noll för att blockera fler avbrott från Global Interrupt och SSP Interrupt. Flaggan FLAGS,7 sätts när adressbiten från I 2 C- bussen har lästs. Därefter sätts flagga bit 0 och 4 i register FLAGS då första databyten har lästs och FLAGS,1 och FLAGS,5 för andra databyten. Efter tredje databyten nollställs FLAGS,0 och FLAGS,1 och bit 6 i FLAGS sätts. Avbrottsrutinen är klar nu. WSAVE = W STATSAV.swapf. STATUS INTCON,GIE = 0 PIR1,3 = 0 Om FLAGS,7 = 0 FLAGS,7 = 1 SSPCON,6 = 0 Om FLAGS,7 = 1 BUF = SSPBUF SSPCON,6 = 0 FLAGS,0 = 1 (Om FLAGS,0 = 0) FLAGS,4 = 1 (Om FLAGS,0 = 0) DPA = BUF (Om FLAGS,0 = 0) FLAGS,1 = 1 (Om FLAGS,1 = 0) FLAGS,5 = 1 (Om FLAGS,1 = 0) DPB = BUF (Om FLAGS,1 = 0) FLAGS,0 = 0 (Om FLAGS,1 = 1) FLAGS,1 = 0 (Om FLAGS,1 = 1) FLAGS,6 = 1 (Om FLAGS,1 = 1) DPA = BUF (Om FLAGS,1 = 1) 23

30 STATUS.swapf. STATSAV INTCON,GIE = 1 WSAVE W STATSAV STATUS INTCON,GIE PIR1,3 SSPCON,6 BUF SSPBUF DPA SSPSTAT, P FLAGS,0 FLAGS,4 FLAGS,7 24

31 Tabell Värde RAB=10 kohm, RW=120 ohm, D= Digitalt Analogt Digitalt Analogt Digitalt Analogt , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,375 25

Microprocessor / Microcontroller. Industrial Electrical Engineering and Automation

Microprocessor / Microcontroller. Industrial Electrical Engineering and Automation Microprocessor / Microcontroller Varför? Billiga Innehåller bara det nödvändigaste Kräver få kringkomponenter Enkla att programmera PIC16F887 PIC16F887 In- och utgångar Pinnar på PIC16F887 Exempel: pinne

Läs mer

Datakommunikation med IR-ljus.

Datakommunikation med IR-ljus. Datakommunikation med -ljus. I den här uppgiften skall du kommunicera med hjälp av infrarött () ljus. Du skall kunna sända tecken från tangentbordet samt ta emot tecken och visa dem på skärmen. Genom att

Läs mer

Effektpedal för elgitarr

Effektpedal för elgitarr EITF11 - Digitala Projekt Effektpedal för elgitarr Handledare: Bertil Lindvall Ivan Rimac (I05) Jimmy Lundberg (I08) 2011-05-10 Contents Bakgrund... 3 Kravspecifikation... 3 Kravspecifikation Effektpedal...

Läs mer

DIGITALA PROJEKT Väderstation

DIGITALA PROJEKT Väderstation DIGITALA PROJEKT Väderstation Christian Lindquist, E03 Leonardo Bello, E03 Abstract Almost everybody has some kind of temperature measurement device in their home. The latest in this industry are more

Läs mer

Systemkonstruktion SERIEKOMMUNIKATION

Systemkonstruktion SERIEKOMMUNIKATION Systemkonstruktion SERIEKOMMUNIKATION Laborationsansvariga: Anders Arvidsson Utskriftsdatum: 2005-04-26 Syfte Laborationen syftar till att ge studenten tillfälle att närmare bekanta sig med RS-232-protokollet,

Läs mer

Microprocessor / Microcontroller

Microprocessor / Microcontroller Microprocessor / Microcontroller Varför? Industrial Electrical Engineering and Automation Billiga Innehåller bara det nödvändigaste Kräver få kringkomponenter Enkla att programmera PIC16F887 PIC16F887

Läs mer

Växtviskaren EITF11 Digitala projekt VT15, I12

Växtviskaren EITF11 Digitala projekt VT15, I12 Växtviskaren EITF11DigitalaprojektVT15,I12 NathalieLiljebrunn,EbbaRiismark,AnnaNorelius LundsTekniskaHögskola Institutionenförelektro ochinformationsteknik Handledare:BertilLindvall,AndreasJohansson 2015

Läs mer

Formula Blue. Digitala Projekt 8p. Jesper Ferm E02 Carl Hakenäs E04

Formula Blue. Digitala Projekt 8p. Jesper Ferm E02 Carl Hakenäs E04 Formula Blue Digitala Projekt 8p Jesper Ferm E02 Carl Hakenäs E04 Abstract The purpose with this project was to get some understanding how Bluetooth works and how to use it in practical applications. A

Läs mer

Beskrivning av porthantering i mikroprocessorn SAM3U som används på vårt labkort SAM3U- EK.

Beskrivning av porthantering i mikroprocessorn SAM3U som används på vårt labkort SAM3U- EK. Tomas Nordström Högskolan i Halmstad Dokumentversion 0.1, 2012-04- 01 Beskrivning av porthantering i mikroprocessorn SAM3U som används på vårt labkort SAM3U- EK. Informationen till detta kompendium är

Läs mer

Provmoment: Ladokkod: Tentamen ges för: Tentamen TE111B El3. Namn: Personnummer: Tentamensdatum: 20120410 Tid: 14:00-18:00.

Provmoment: Ladokkod: Tentamen ges för: Tentamen TE111B El3. Namn: Personnummer: Tentamensdatum: 20120410 Tid: 14:00-18:00. Mikrodatorteknik Provmoment: Ladokkod: Tentamen ges för: Tentamen TE111B El3 7,5 högskolepoäng Namn: Personnummer: Tentamensdatum: 20120410 Tid: 14:00-18:00 Hjälpmedel: Totalt antal poäng på tentamen:

Läs mer

Pulsmätare med varningsindikatorer

Pulsmätare med varningsindikatorer Pulsmätare med varningsindikatorer Elektro- och informationsteknik Projektrapport, EITF11 Digitala Projekt Charlie Hedhav Sofia Johansson Louise Olsson 2016-05-17 Abstract During the course Digitala Projekt

Läs mer

Anders Arvidsson 2005-04-26 ROBOTBESKRIVNING. Roboten på bilden är extrautrustad

Anders Arvidsson 2005-04-26 ROBOTBESKRIVNING. Roboten på bilden är extrautrustad Anders Arvidsson 2005-04-26 ROBOTBESKRIVNING Roboten på bilden är extrautrustad Abstract This document describes the robot which is used in the third years applied courses at Ingenjörshögskolan i Jönköping.

Läs mer

Laboration 2 Elektriska kretsar Online fjärrstyrd laborationsplats Blekinge Tekniska Högskola (BTH)

Laboration 2 Elektriska kretsar Online fjärrstyrd laborationsplats Blekinge Tekniska Högskola (BTH) Laboration 2 Elektriska kretsar Online fjärrstyrd laborationsplats Blekinge Tekniska Högskola (BTH) Växelspänningsexperiment Namn: Elektriska kretsar Online fjärrstyrd laborationsplats Blekinge Tekniska

Läs mer

William Sandqvist william@kth.se

William Sandqvist william@kth.se Komparatorn en 1 bits AD-omvandlare En komparator är en känslig förstärkare för skillnaden mellan spänningarna på ingångarna. Minsta lilla positiv skillnad gör att utgången hamnar på (1) eller vid negativ

Läs mer

WAGO IO System Service Seminar. Diagnostik

WAGO IO System Service Seminar. Diagnostik WAGO IO System Service Seminar Diagnostik 1 Dioder på Controller Link 1/2 Ethernet Länk status Av - ingen ethernet anslutning grön - Ethernet anslutning blinkande grön - Ethernet anslutning skickar / tar

Läs mer

Enchipsdatorer med tillämpningar LABORATION 7, ROBOT

Enchipsdatorer med tillämpningar LABORATION 7, ROBOT Enchipsdatorer med tillämpningar LABORATION 7, ROBOT Laborationsansvariga: Anders Arvidsson Utskriftsdatum: 2005-05-14 Laboranter: 1 Syfte Denna laboration syftar till att introducera interrupt och watchdog

Läs mer

Manual för EQE PLC enhet

Manual för EQE PLC enhet Manual för EQE PLC enhet EQE PLC enheten är enkelt programmerbar via byglingar. Detta gör att inga förkunskaper inom programmering behövs. De olika funktionerna realiseras helt enkelt genom att flytta

Läs mer

Föreläsningsanteckningar 3. Mikroprogrammering II

Föreläsningsanteckningar 3. Mikroprogrammering II Föreläsningsanteckningar 3. Mikroprogrammering II Olle Seger 2012 Anders Nilsson 2016 1 Inledning Datorn, som vi byggde i förra föreläsningen, har en stor brist. Den saknar I/O. I denna föreläsning kompletterar

Läs mer

DCU605. Tekniska Data. Datablad SDA00178SE Version 1.1 2015/02/18 Passersystem. Dörrcentral med direkt IP-anslutning till ESMIKKO-server

DCU605. Tekniska Data. Datablad SDA00178SE Version 1.1 2015/02/18 Passersystem. Dörrcentral med direkt IP-anslutning till ESMIKKO-server DCU605 Dörrcentral med direkt IP-anslutning till ESMIKKO-server DCU605 är en dörrcentral med en inbyggd IP-modul som kan anslutas direkt till en ESMIKKO-server via företags-lan. Det finns två produktmodeller.

Läs mer

4:4 Mätinstrument. Inledning

4:4 Mätinstrument. Inledning 4:4 Mätinstrument. Inledning För att studera elektriska signaler, strömmar och spänningar måste man ha lämpliga instrument. I detta avsnitt kommer vi att gå igenom de viktigaste, och som vi kommer att

Läs mer

D/A- och A/D-omvandlarmodul MOD687-31

D/A- och A/D-omvandlarmodul MOD687-31 D/A- och A/D-omvandlarmodul MOD687-31 Allmänt Modulen är helt självförsörjande, det enda du behöver för att komma igång är en 9VAC väggtransformator som du kopplar till jacket J2. När du så småningom vill

Läs mer

Innehållsförteckning. Figur- och tabellförteckning. Figure 1 Blockschema över hårdvaran...4 Figure 2 Blockschema över programet...

Innehållsförteckning. Figur- och tabellförteckning. Figure 1 Blockschema över hårdvaran...4 Figure 2 Blockschema över programet... Abstract Syftet var att konstruera en väder station som håller koll på temperaturen. Huvudfunktionen var att få en grafisk visning av temperaturen över ett visst tidsintervall eftersom vi valde den grafiska

Läs mer

SVAR TILL TENTAMEN I DATORSYSTEM, VT2013

SVAR TILL TENTAMEN I DATORSYSTEM, VT2013 Rahim Rahmani (rahim@dsv.su.se) Division of ACT Department of Computer and Systems Sciences Stockholm University SVAR TILL TENTAMEN I DATORSYSTEM, VT2013 Tentamensdatum: 2013-03-21 Tentamen består av totalt

Läs mer

Ö 1:1 U B U L. Ett motstånd med resistansen 6 kopplas via en strömbrytare till ett batteri som spänningskälla som figuren visar.

Ö 1:1 U B U L. Ett motstånd med resistansen 6 kopplas via en strömbrytare till ett batteri som spänningskälla som figuren visar. Ö : Ett motstånd med resistansen 6 kopplas via en strömbrytare till ett batteri som spänningskälla som figuren visar B L Spänningskällan ger spänningen V Brytaren är öppen som i figuren a) Beräkna strömmen

Läs mer

Modbus. Supportdokument. Lathund för att använda Modbus över RS XXX eller TCP/IP.

Modbus. Supportdokument. Lathund för att använda Modbus över RS XXX eller TCP/IP. Supportdokument Modbus Lathund för att använda Modbus över RS XXX eller TCP/IP. Synpunkter, felaktigheter, önskemål etc. för dokumentet meddelas Fil: Malthe_LHA T_Modbus.docx Innehållsförteckning 1. Allmänt...

Läs mer

www.telefrang.se Telefrang Smoke Control System Installationsmanual för Midi- och MaxiSmoke 2008-02-18 Sida 1 av 12

www.telefrang.se Telefrang Smoke Control System Installationsmanual för Midi- och MaxiSmoke 2008-02-18 Sida 1 av 12 Telefrang Smoke Control System Installationsmanual för Midi- och MaxiSmoke MaxiSmoke MidiSmoke File: D:\Projekt\SMOKE CONTROL\MIDI SMOKE\Man\Midisystem_inst_man_V01.odt 2008-02-18 Sida 1 av 12 1. Installation

Läs mer

Digital Termometer J10 B4 A4 GND GND GND B1 GND GND GND GND B3 A3 +5V +5V A3 +5V A2 +5V +5V A2. +5v B16 A16 UREG UREG B1 6 AC AC A1 6 A6 6

Digital Termometer J10 B4 A4 GND GND GND B1 GND GND GND GND B3 A3 +5V +5V A3 +5V A2 +5V +5V A2. +5v B16 A16 UREG UREG B1 6 AC AC A1 6 A6 6 Digital Termometer I den här uppgiften skall vi mäta temperaturen på ditt kaffe. Vi använder en termistor som temperaturkänslig givare och timerkretsen 555 som A/D omvandlare. Temperaturen presenterar

Läs mer

Grunderna i stegkodsprogrammering

Grunderna i stegkodsprogrammering Kapitel 1 Grunderna i stegkodsprogrammering Följande bilaga innehåller grunderna i stegkodsprogrammering i den form som används under kursen. Vi kommer att kort diskutera olika datatyper, villkor, operationer

Läs mer

Övervakningssystem EKO-MKE/SKE

Övervakningssystem EKO-MKE/SKE Övervakningssystem EKO-MKE/SKE Beskrivning generellt Styr- och övervakningssystem EKO-MKE/SKE är avsett att användas för automatisk övervakning och funktionskontroll av brand-/brandgasspjäll, brandgasspjäll

Läs mer

Programmering av stegmotorer ett miniprojekt i samarbete med Svensk Maskinprovning

Programmering av stegmotorer ett miniprojekt i samarbete med Svensk Maskinprovning Programmering av stegmotorer ett miniprojekt i samarbete med Svensk Maskinprovning Daniel Leonardsson dale0010@student.umu.se Kajsa Persson kape0038@student.umu.se I samarbete med Svensk Maskinprovning,

Läs mer

A-del motsvarande KS1

A-del motsvarande KS1 MÄLARDALENS HÖGSKOLA Institutionen för elektroteknik Tentamen Mikrodatorteknik CT3760 Datum 2005-10-28 Tid 08.30 12.30 Svar till A- och B-del A-del motsvarande KS1 Uppgift A1. Vad blir resultatet då instruktionen

Läs mer

En ideal op-förstärkare har oändlig inimedans, noll utimpedans och oändlig förstärkning.

En ideal op-förstärkare har oändlig inimedans, noll utimpedans och oändlig förstärkning. F5 LE1460 Analog elektronik 2005-11-23 kl 08.15 12.00 Alfa En ideal op-förstärkare har oändlig inimedans, noll utimpedans och oändlig förstärkning. ( Impedans är inte samma sak som resistans. Impedans

Läs mer

Datorsystem Laboration 2: Minnesmappade bussar

Datorsystem Laboration 2: Minnesmappade bussar Datorsystem Laboration 2: Minnesmappade bussar Senast uppdaterad: 14 oktober 2012 Version 1.2 Student: Lärare: Underskrift: Underskrift: Datum: Datorsystem Laboration 2 1 Innehåll 1 Inledning 2 1.1 Introduktion..................................

Läs mer

Den här texten ska förhoppningsvis underlätta en del av anpassningarna. Det kan säkert finnas en del fel och annat tok.

Den här texten ska förhoppningsvis underlätta en del av anpassningarna. Det kan säkert finnas en del fel och annat tok. Ver Okt 2011/pls Windows7, GX-IEC Developer, USB-adapter I olika kurser i styrteknik på Högskolan Dalarna används ett styrsystem från Mitsubishi och programvaran GX-IEC Developer. Kurserna går på distans

Läs mer

DAC500 Door Access Control unit

DAC500 Door Access Control unit DAC500 Door Access Control unit Inledning och adressering av Hi-O 3 Adressering av Hi-O enheter 3 Installation 4 Master reset/initiering 5 Initieringsmatris DAC500 6 Bygelfält 7 Streckscheman 8 Anslutning

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012 Med kommentarer för kursen ht 2012 2012 CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna

Läs mer

2E1112 Elektrisk mätteknik

2E1112 Elektrisk mätteknik 2E1112 Elektrisk mätteknik Mikrosystemteknik Osquldas väg 10, 100 44 Stockholm Tentamen för fd E3 2007-12-21 kl 8 12 Tentan består av: 1 uppgift med 6 kortsvarsfrågor som vardera ger 1 p. 5 uppgifter med

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit

Digitalteknik: CoolRunner-II CPLD Starter Kit CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna i digitalteknik kommer kortet att användas

Läs mer

Mätningar på transistorkopplingar

Mätningar på transistorkopplingar Ellab015A Mätningar på transistorkopplingar Namn Datum Handledarens sign. Laboration Varför denna laboration? Transistorn är en av de allra viktigaste komponenterna inom elektroniken. I den här laborationen

Läs mer

AVR 3 - datorteknik. Avbrott. Digitala system 15 hp. Förberedelser

AVR 3 - datorteknik. Avbrott. Digitala system 15 hp. Förberedelser Namn: Laborationen godkänd: Digitala system 15 hp AVR 3 - datorteknik LTH Ingenjörshögskolan vid Campus Helsingborg Avbrott. Syften med den här laborationen är att introducera avbrott. Avbrott som uppkommer

Läs mer

Lab. E3 Mätteknisk rapport

Lab. E3 Mätteknisk rapport Lab. Mätteknisk rapport Okänd spänningsgenerator Fredrik Andersson Björn Bertilsson Stockholm 1999 nstitutionen S, Kungliga Tekniska Högskolan 7 Sammanfattning denna laboration har vi bestämt egenskaperna

Läs mer

Bilaga till laborationen i TSKS09 Linjära System

Bilaga till laborationen i TSKS09 Linjära System Bilaga till laborationen i TSKS09 Linjära System Hårdvaruenheten Den utrustning som vi använder oss av i laborationen går under namnet NI ELVIS II (från företaget National Instruments, NI). Utrustningen

Läs mer

TENTAMEN Datorteknik (DO2005) D1/E1/Mek1/Ö1

TENTAMEN Datorteknik (DO2005) D1/E1/Mek1/Ö1 Halmstad University School of Information Science, Computer and Electrical Engineering Tomas Nordström, CC-lab TENTAMEN Datorteknik (DO2005) D1/E1/Mek1/Ö1 Datum: 2012-05- 23 Tid och plats: 9:00 13:00 i

Läs mer

Digitala Projekt (EITF11)

Digitala Projekt (EITF11) Digitala Projekt (EITF11) Temperaturgivare med larm Handledare: Bertil Lindvall 2014-05-20 Erik Hellered, I-11 Andreas Sjöblom, I-11 Philip Dahlström, I-11 Table of Contents Inledning... 1 Kravspecifikation...

Läs mer

I/O kort för triggsignaler för LogiComm pistoldrivare

I/O kort för triggsignaler för LogiComm pistoldrivare Instruktionsblad Swedish I/O kort för triggsignaler för LogiComm pistoldrivare VARNING: Detta I/O kort för triggsignaler är inte direkt kompatibelt med tidigare versioner (P/N 1069804 och 1069805). Se

Läs mer

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler Exempeluppgift i Logikstyrning Inledning Idén med detta papper är att ge en allmän beskrivning av labbutrustningen och tips för hur man kan lösa olika praktiska problem i samband med laborationen. Läs

Läs mer

Utökning av mätområdet på ett mätinstrument med LED

Utökning av mätområdet på ett mätinstrument med LED Utökning av mätområdet på ett mätinstrument med LED Som rubriken säger skall denna artikel handla om en möjlighet att få ett mätinstrument att visa mer info än vad som är brukligt. När jag har bytt ut

Läs mer

EMK och inre resistans - tvåpolen

EMK och inre resistans - tvåpolen elab009a EMK och inre resistans - tvåpolen Namn Datum Handledarens sign. Laboration I den här laborationen skall du undersöka vad en tvåpol är och hur den fungerar. Viktiga begrepp att förstå är emk och

Läs mer

VHDL och laborationer i digitalteknik

VHDL och laborationer i digitalteknik V:1.1 VHDL och laborationer i digitalteknik Vid laborationskursen i digitalteknik används VHDL till alla laborationerna utom den första. VHDL är ett stort språk och enbart en liten del av språket behövs

Läs mer

Tentamen PC-teknik 5 p

Tentamen PC-teknik 5 p Tentamen PC-teknik 5 p Namn:. Klass:... Program: Di2, Em3, Et3 Datum: 03-08-15 Tid: 13:30-18:30 Lokal: E171 Hjälpmedel: Linjal, miniräknare, Instruktionsrepertoar för 8086 (utdelas), Lathund, Pacific C

Läs mer

Elektro och Informationsteknik LTH. Laboration 6 A/D- och D/A-omvandling. Elektronik för D ETIA01

Elektro och Informationsteknik LTH. Laboration 6 A/D- och D/A-omvandling. Elektronik för D ETIA01 Elektro och Informationsteknik LTH Laboration 6 A/D- och D/A-omvandling Elektronik för D ETIA01 Peter Hammarberg Anders J Johansson Lund April 2008 Mål Efter laborationen skall du ha studerat följande:

Läs mer

HF0010. Introduktionskurs i datateknik 1,5 hp

HF0010. Introduktionskurs i datateknik 1,5 hp HF0010 Introduktionskurs i datateknik 1,5 hp Välkommna - till KTH, Haninge, Datateknik, kursen och till första steget mot att bli programmerare! Er lärare och kursansvarig: Nicklas Brandefelt, bfelt@kth.se

Läs mer

Att ansluta en fastighet till Karlstads Stadsnät och bygga ett fastighetsnät. www.stadsnat.karlstad.se

Att ansluta en fastighet till Karlstads Stadsnät och bygga ett fastighetsnät. www.stadsnat.karlstad.se Att ansluta en fastighet till Karlstads Stadsnät och bygga ett fastighetsnät www.stadsnat.karlstad.se Inledning Att ansluta en fastighet till Karlstads Stadsnät innebär att i stort sett få obegränsade

Läs mer

Mätteknik 2016 Mätsystem

Mätteknik 2016 Mätsystem Mätteknik 2016 Mätsystem Per Augustsson [per.augustsson@bme.lth.se] Inst. för Biomedicinsk Teknik 1 Upplägg I dag Mätsystem Gränssnitt - LabView - introduktion I morgon LabView fortsättning Om laborationen

Läs mer

Vad är kommunikation? Vad är datorkommunikation? Dataöverföring; Inledning

Vad är kommunikation? Vad är datorkommunikation? Dataöverföring; Inledning Vad är kommunikation? Kommunikation är ett vitt begrepp. Vi använder det för att benämna till exempel ett samtal eller att vi själv förflyttar oss med till exempel tåg eller buss. Kommunikation kommer

Läs mer

Övning1 Datorteknik, HH vt12 - Talsystem, logik, minne, instruktioner, assembler

Övning1 Datorteknik, HH vt12 - Talsystem, logik, minne, instruktioner, assembler Övning1 Datorteknik, HH vt12 - Talsystem, logik, minne, instruktioner, assembler Talsystem Talsystem - binära tal F1.1) 2 n stycken tal från 0 till 2 n 1 F1.2) 9 bitar (512 kombinationer) Talsystem - 2-

Läs mer

Laborationsrapport. Lab nr 8. Kurs Elinstallation, begränsad behörighet. Laborationens namn Skåpbyggnad. Kommentarer. Utförd den. Godkänd den.

Laborationsrapport. Lab nr 8. Kurs Elinstallation, begränsad behörighet. Laborationens namn Skåpbyggnad. Kommentarer. Utförd den. Godkänd den. Laborationsrapport Kurs Elinstallation, begränsad behörighet Lab nr 8 Laborationens namn Namn Skåpbyggnad Kommentarer Version 1.8 Utförd den Godkänd den Sign 1 Inledning I denna laboration skall du lära

Läs mer

6. Likströmskretsar. 6.1 Elektrisk ström, I

6. Likströmskretsar. 6.1 Elektrisk ström, I 6. Likströmskretsar 6.1 Elektrisk ström, I Elektrisk ström har definierats som laddade partiklars rörelse mer specifikt som den laddningsmängd som rör sig genom en area på en viss tid. Elström kan bestå

Läs mer

Föreläsningsanteckningar till Konstruktionsmetoder 981027

Föreläsningsanteckningar till Konstruktionsmetoder 981027 Föreläsningsanteckningar till Konstruktionsmetoder 981027 Jämförelse mellan 68705P3 och 16F84 externt MC68705P3 PIC16F84 I/O 20 13 Kapsling 28-pin DIL 18-pin DIL Drivförmåga på pinnar PortB 10mA Sink,

Läs mer

OSCILLOSKOPET. Syftet med laborationen. Mål. Utrustning. Institutionen för fysik, Umeå universitet Robert Röding 2004-06-17

OSCILLOSKOPET. Syftet med laborationen. Mål. Utrustning. Institutionen för fysik, Umeå universitet Robert Röding 2004-06-17 Institutionen för fysik, Umeå universitet Robert Röding 2004-06-17 OSCILLOSKOPET Syftet med laborationen Syftet med denna laboration är att du ska få lära dig principerna för hur ett oscilloskop fungerar,

Läs mer

3.1.1 3.1.2. Lösningar elektrisk mätteknik

3.1.1 3.1.2. Lösningar elektrisk mätteknik 3.1.1 a) Instrument 2,3 och 4. b) 1. Instrumentet visar medelvärdet av signalen, alltså A. 2. Instrumentet likriktar signalen och multiplicerar medelvärdet av den likriktade signalen med formfaktorn för

Läs mer

Komma igång med E-Line RIO

Komma igång med E-Line RIO Supportdokument Komma igång med E-Line RIO Synpunkter, felaktigheter, önskemål etc. för dokumentet meddelas Fil: Malthe_Suppo_Ladda upp filer från.docx Innehållsförteckning 1. Allmänt... 2 2. Systen setup...

Läs mer

TMT-918 Fjärrkontroll med timer. Säkerhet. Tekniska data

TMT-918 Fjärrkontroll med timer. Säkerhet. Tekniska data TMT-918 Fjärrkontroll med timer KOMPATIBILITET Den här sändaren är kompatibel med alla självlärande mottagare från System Nexa. Timerfunktionerna bör dock inte användas på mottagare med dimmer. FUNKTIONALITET

Läs mer

modu533: I/O modul, universal/digitala ingångar, S0 ingång

modu533: I/O modul, universal/digitala ingångar, S0 ingång SAUTER EY-modulo 5 PDS 92.046 se Produktdatablad EY-IO533 modu533: I/O modul, universal/digitala ingångar, S0 ingång Din fördel för mer energieffektivitet SAUTER EY-modulo 5 teknologi: modulär, snabb och

Läs mer

4 Laboration 4. Brus och termo-emk

4 Laboration 4. Brus och termo-emk 4 Laboration 4. Brus och termoemk 4.1 Laborationens syfte Detektera signaler i brus: Detektera periodisk (sinusformad) signal med hjälp av medelvärdesbildning. Detektera transient (nästan i alla fall)

Läs mer

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 - Inbyggda system - Analog till digital signal - Utvecklingssystem, målsystem - Labutrustningen - Uppbyggnad av mikrokontroller - Masinkod, assemblerkod

Läs mer

Op-förstärkarens grundkopplingar. Del 2, växelspänningsförstärkning.

Op-förstärkarens grundkopplingar. Del 2, växelspänningsförstärkning. Op-förstärkarens grundkopplingar. Del 2, växelspänningsförstärkning. I del 1 bekantade vi oss med op-förstärkaren som likspänningsförstärkare. För att kunna arbeta med op-förstärkaren vill vi kunna mäta

Läs mer

Användarmanual för pollare, styrda av logik U200 eller U 201 Version V8

Användarmanual för pollare, styrda av logik U200 eller U 201 Version V8 öppnar för ett tryggare samhälle Användarmanual för pollare, styrda av logik U200 eller U 201 Version V8 DENNA MANUAL ÄR AVSEDD FÖR KVALIFICERADE ELLER UTBILDADE TEKNIKER. ALLT ICKE AUKTORISERAT ARBETE

Läs mer

HÖGSKOLAN I KALMAR Institutionen för teknik Erik Loxbo 06 03 04 LABORATION I PLC-TEKNIK SEKVENSSTYRNING AV TRANSPORTBAND SIMATIC S7 - GRAPH

HÖGSKOLAN I KALMAR Institutionen för teknik Erik Loxbo 06 03 04 LABORATION I PLC-TEKNIK SEKVENSSTYRNING AV TRANSPORTBAND SIMATIC S7 - GRAPH HÖGSKOLAN I KALMAR Institutionen för teknik Erik Loxbo 06 03 04 LABORATION I PLC-TEKNIK SEKVENSSTYRNING AV TRANSPORTBAND SIMATIC S7 - GRAPH Uppgift: Lös nedanstående problemställning med hjälp av programvaran

Läs mer

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien DIGITAL- OCH MIKRODATORTEKNIK, U2 09.00 13.00 Tillåtna hjälpmedel: Instruktionslista PIC16F877A Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien Fullständiga lösningar skall inlämnas.

Läs mer

Det finns en hemsida. Adressen är http://www.idt.mdh.se/kurser/ct3760/

Det finns en hemsida. Adressen är http://www.idt.mdh.se/kurser/ct3760/ CT3760 Mikrodatorteknik Föreläsning 1 Torsdag 2005-08-25 Upprop. Det finns en hemsida. Adressen är http://www.idt.mdh.se/kurser/ct3760/ Kurslitteratur är Per Foyer Mikroprocessorteknik. Finns på bokhandeln.

Läs mer

General Purpose registers ALU I T H S V N Z C SREG. Antag att vi behöver skriva in talet 25 till register R18

General Purpose registers ALU I T H S V N Z C SREG. Antag att vi behöver skriva in talet 25 till register R18 F3 Föreläsning i Mikrodatorteknink 2006-08-29 Kärnan i microcontrollern består av ett antal register och en ALU. Till detta kommer också ett antal portar. Det finns 64 st portar. Några är anslutna mot

Läs mer

LABORATION DATORKONSTRUKTION TSEA83 UART. Namn och personnummer. Version: 1.0 2013 (OS)

LABORATION DATORKONSTRUKTION TSEA83 UART. Namn och personnummer. Version: 1.0 2013 (OS) LABORATION DATORKONSTRUKTION TSEA83 UART Version: 1.0 2013 (OS) Namn och personnummer Godkänd 1 blank sida 2 Innehåll 1 Inledning 5 1.1 Syfte................................. 5 1.2 Förberedelser............................

Läs mer

Systemkonstruktion LABORATION REALTIDSPROGRAMMERING

Systemkonstruktion LABORATION REALTIDSPROGRAMMERING Systemkonstruktion LABORATION REALTIDSPROGRAMMERING Laborationsansvariga: Anders Arvidsson, Björn Lundblad Utskriftsdatum: 2002-10-31 Laboranter: 1 Syfte Denna laboration syftar till att öva användningen

Läs mer

PNSPO! Tips! Xpectia kommunikation till OMRON PLC via Seriellt. 14 mars 2012 OMRON Corporation

PNSPO! Tips! Xpectia kommunikation till OMRON PLC via Seriellt. 14 mars 2012 OMRON Corporation PNSPO! Tips! Xpectia kommunikation till OMRON PLC via Seriellt 14 mars 2012 OMRON Corporation 2/24 Läs detta innan du bläddrar vidare PNSPO! Denna bok är avsedd som ett tillägg till de ursprungliga manualerna

Läs mer

BICT:01 BICT. sv-se. Användarinstruktion Gäller från BICT 2.24. Utgåva 5. Scania CV AB 2015, Sweden

BICT:01 BICT. sv-se. Användarinstruktion Gäller från BICT 2.24. Utgåva 5. Scania CV AB 2015, Sweden BICT:01 Utgåva 5 sv-se BICT Användarinstruktion Gäller från BICT 2.24 339 837 Scania CV AB 2015, Sweden Introduktion 3 Om BICT 3 Inställningar 4 Översikt 5 Beskrivning av termer 6 Grafiska symboler i programmet

Läs mer

Adressrum, programmerarens bild

Adressrum, programmerarens bild EDA 480 2009/2010 MC68HC12, Uppbyggnad.pdf Ur innehållet: Datorns byggblock Busskommunikation Synkron buss Asynkron buss Multiplex-buss avkodning för minne och I/O Minnesavbildad I/O Direktadresserad I/O

Läs mer

TSIU50 Mikrodatorprojekt. LAB1 AVR-introduktion och logikanalysator

TSIU50 Mikrodatorprojekt. LAB1 AVR-introduktion och logikanalysator 1 2 TSIU50 Mikrodatorprojekt LAB1 AVR-introduktion och logikanalysator Michael Josefsson Januari 2007 Detta häftes syfte är att tjäna som en snabb introduktion till utvecklingsmiljön AVRStudio och den

Läs mer

Digitala Projekt(EITF40) - Larm

Digitala Projekt(EITF40) - Larm Digitala Projekt(EITF40) - Larm Handledare: Bertil Lindvall Kristoffer Sätermark, dt08ks6 Magnus Johansson, dt08mj9 Innehåll 1 Introduktion 1 2 Kravspec 1 3 Hårdvara 2 3.1 knappsats och decoder........................

Läs mer

ARCUS i praktiken lär genom att använda ARCUS. Praktikfall: Kondensatormätningar faskompensering och likspänningsmellanled.

ARCUS i praktiken lär genom att använda ARCUS. Praktikfall: Kondensatormätningar faskompensering och likspänningsmellanled. Praktikfall: Kondensatormätningar faskompensering och likspänningsmellanled. Det finns två fall där en kondensatormätbrygga (så kallad RCL-brygga) inte gärna kan användas vid mätning på industriutrustning.

Läs mer

LABORATIONSINSTRUKTION. Mätning på dioder och transistorer

LABORATIONSINSTRUKTION. Mätning på dioder och transistorer Lars-Erik Cederlöf LABORATIONSINSTRUKTION LABORATION Mätning på dioder och transistorer KURS Elektronik grundkurs LAB NR 4 INNEHÅLL Data om dioden 1N4148 Kontroll av diod Diodens karaktäristik Data om

Läs mer

LUXCONTROL modulardim

LUXCONTROL modulardim LUXCONTROL modulardim data & instruktion 2010-04 modulardim data & instruktion med reservation för ändringar. Digital styrmodul för PCA/TE one4all/pcd modulardim BASIC Styrmodul för 3 kanaler Styrmodulen

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Institutionen för Elektroteknik LABORATION LABORATIONSINSTRUKTION LOG/iC, PLD, kombinatorik, sekvensnät KURS Digitalteknik LAB NR 6 INNEHÅLL. Inledning 2. Prioritetskodare 3. Elektronisk

Läs mer

Övningsuppgifter STYRNING - i Mikrodatorteknik för U2 2010

Övningsuppgifter STYRNING - i Mikrodatorteknik för U2 2010 STYRNING - i Mikrodatorteknik för U2 2010 1. Uppgift M10 (5p) aug-09 Skriv ett program i PIC-assembler som - gör PortB till utport - ettställer bit 0, 3 och 4 i PortB - nollställer bit 5 och 6 i PortB

Läs mer

Kanalprocessor T-05 / DIGITAL 5870

Kanalprocessor T-05 / DIGITAL 5870 SE-1 040928 50010 Kanalprocessor FAKTA T-05 KANALPROCESSOR (DIGITAL) Bredbandig utgång 470 860 MHz AGC (automatisk förstärkningsreglering) Grannkanalduglig Programmerbar via extern programmerare eller

Läs mer

SB168-ES och M7CL Quick Setup Guide Svensk version

SB168-ES och M7CL Quick Setup Guide Svensk version SB168-ES och M7CL Quick Setup Guide Svensk version February, 2009 SB168-ES och M7CL Quick Setup Guide Om den här guiden Den här guiden innefattar information om snabb och enkel konfigurering av 48 inputs

Läs mer

Allmänt om Modbus. Modbus

Allmänt om Modbus. Modbus Modbus Modbus är ett populärt och fritt publicerat, royaltyfritt kommunikationsprotokoll för seriekopplingar med master/slave. Modbus-specifikationen styr meddelandens struktur och hantering, medan den

Läs mer

Installationsguide. För att installera mjukvara och hårdvara, följ nedanstående anvisningar.

Installationsguide. För att installera mjukvara och hårdvara, följ nedanstående anvisningar. Installationsguide För att installera mjukvara och hårdvara, följ nedanstående anvisningar. Inkoppling av Logger 2020 eller SunLogger 2020 mot PC/LoggerSoft 1. Logger 2020 ansluts alltid via en router,

Läs mer

TETRIS. LTH, Campus Helsingborg EITA15 Digitala System

TETRIS. LTH, Campus Helsingborg EITA15 Digitala System TETRIS LTH, Campus Helsingborg EITA15 Digitala System Handledare: Bertil Lindvall Författare: Isak Shamun, Viktor Kulle, Mark Slipac och Dennis Järnåsen Datum: 2019-05-09 Abstract This report concerns

Läs mer

Bruksanvisning DAB One

Bruksanvisning DAB One Bruksanvisning DAB One Var snäll läs igenom denna bruksanvisning, innan ni börjar använda er DAB One. Grattis till ditt val av DAB/FM mottagare. Vi hoppas att du kommer att ha många trevliga stunder framför

Läs mer

Att uppnå det omöjliga

Att uppnå det omöjliga 1 Att uppnå det omöjliga Ta kontroll över landströmmen med Phoenix Multi/ Multiplus från Victron Energy Ibland kan funktionerna hos nya produkter vara så unika att fördelarna kan vara svåra att förstå

Läs mer

Att använda pekare i. C-kod

Att använda pekare i. C-kod Att använda pekare i C-kod (Bör användas av de som känner sig lite hemma med C-programmering!) Rev 1, 2005-11-23 av Ted Wolfram www.wolfram.se Syfte: Man kan tycka att det är komplicerat att använda pekare

Läs mer

Realtidsprogrammering. En introduktion Implementering (med exempel från PIC)

Realtidsprogrammering. En introduktion Implementering (med exempel från PIC) Realtidsprogrammering En introduktion Implementering (med exempel från PIC) Utan timing Periodtid varierar beroende på funktionernas exekveringstid. Specificera endast maxtid ( Worst case) och eventuellt

Läs mer

BRUKSANVISNING. Modell: LMDT-810 Rörelsevakt / IR som sänder självlärande kodsignal till ansluten Nexa mottagare

BRUKSANVISNING. Modell: LMDT-810 Rörelsevakt / IR som sänder självlärande kodsignal till ansluten Nexa mottagare BRUKSANVISNING Modell: LMDT-810 Rörelsevakt / IR som sänder självlärande kodsignal till ansluten Nexa mottagare FUNKTIONER: * 67 miljoner kodkombinationer, inga störningar från grannarna. * Enkel användning,

Läs mer

ANVÄNDARMANUAL. handdatorer i ängs- och betesmarksinventeringen. för

ANVÄNDARMANUAL. handdatorer i ängs- och betesmarksinventeringen. för ANVÄNDARMANUAL handdatorer i ängs- och betesmarksinventeringen för Ändringshantering Ansvarig för dokumentet: Maria Hall Diemer Datum Ändring Ansvarig 2010-05-11 Dokumentet skapades (version 1.0.0) Edward

Läs mer

LABORATION PIC-PROGRAMMERARE

LABORATION PIC-PROGRAMMERARE Laborationsansvarig: Examinator: Anders Arvidsson Bengt Magnhagen Utskriftsdatum: 1998-02-13 Laborant: Godkänd den: / - Sign: Abstract This hands-on session aims at giving the student a useful PIC16x84

Läs mer

'HOWHQWDPHQ 6\VWHPNRQVWUXNWLRQ

'HOWHQWDPHQ 6\VWHPNRQVWUXNWLRQ 'HOWHQWDPHQ 6\VWHPNRQVWUXNWLRQ / VQLQJDURFKNRPPHQWDUHU Program: Elektroteknik, mikrodatorsystem Datum: 99-11-02 Tid: 8:00-9:30 Lokal E448 Hjälpmedel: Bilagor: Examinator: Miniräknare, linjal Datablad för

Läs mer

Ledningsskyddssystem för stamnätet

Ledningsskyddssystem för stamnätet SVENSKA ^ KRAFTNÄT ENHET, VERKSAMHETSOMRÅDE NK, Koirörollanläggningar VAR BETECKNING TR02-05-2-1 DATUM SAMRAD AN,AS,AT TEKNISK RIKTLINJE zctm /rfrhf & UTGÅVA 5 TD FASTSTÄLLD Ledningsskyddssystem för stamnätet

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #23 Översikt När flera smoduler placeras i processorns adressrum ansluts modulernas adressingångar till motsvarande ledningar i en. Övriga adressledningar i bussen

Läs mer

Digitala projekt rapport

Digitala projekt rapport Digitala projekt rapport Alexander Westrup, d04aw@student.lth.se Martin Sandgren, d04ms@student.lth.se 4 december 2007 Innehåll 1 Abstract 1 2 Inledning 1 3 Arbetsgång 1 4 Hårdvara 1 4.1 Processor...............................

Läs mer