Styrprojektet (LEU075) Process 4

Storlek: px
Starta visningen från sidan:

Download "Styrprojektet (LEU075) Process 4"

Transkript

1 Styrprojektet (LEU075) Process 4 Chalmers Lindholmen Mekatronikprogrammet Av Christian Gruffman och Kristinn Sigmundsson 1

2 1. Sammanfattning Syftet med vårat styrprojekt var att lära oss mer om mekatroniska system, öka våra engelska kunskaper samt lära oss mer om att arbeta tillsammans i grupp. Vår process 4, gick ut på att göra en sekvensstyrning av tre cylindrar styrda via monostabila ventiler, samt en likströmsmotor kopplad till en släde. Släden rörde sig längs en linjal, så man hela tiden kunde se vart den befann sig. Vi skulle även bygga en positioneringsenhet som digitalt med siffror kunde visa exakt på millimetern vart släden befann sig. Vi gick till väga på så sätt att vi programmerade PLC:n som styrde kopplingsplattan och med hjälp av en startknapp så startade processen. När vi byggde positioneringsenheten använde vi oss av PIC processor som vi programmerade i assemblerspråk samt en programmerbar PLD krets för att omvandla vår 6-bitars signal från PIC:en till två 4-bits signaler. De skickas sen vidare till två BCD (Binary Coded Decimal) enheter som sedan driver våra 7- segments LED displayer. Engelsk sammanfattning finnes i bilaga F. Fig 1.1 2

3 Innehållsförteckning Sida 1. Sammanfattning 2 2. Inledning 4 3. Presentation av uppgiften Process Funktionsbeskrivning av PLC programmeringen Programmering i SFC Sekvens Sekvens Cylinder Cylinder Motorn Sekvens Funktionsbeskrivning av Positioneringsenheten Beskrivning Programmerbara Kretsar PIC16F PLD (PALCE22V10H) 12 Bilagor Scheman och källkod Bilaga A Sequence Function Chart Bilaga B Function Block Diagram Bilaga C Källkod för PIC16F84 Bilaga D Källkod för PALCE22V10H Bilaga E Kretsschema Bilaga F English Summery 3

4 2. Inledning Detta arbete har utförts vid Chalmers Lindholmen under höstterminen 2004 i kursen Styrprojekt, med programkod LEU075. Vid första lektionstillfället blev vi tilldelade uppgiften att konstruera en positioneringsenhet till en sekvensstyrd Mecman-platta samt att programmera PLC:n som styrde Mecman-plattan. I denna rapport finns det utförligt förklarat hur vi gick till väga för att lösa vår uppgift samt programkoder och förklaringar på styrsystemen. 4

5 3. Presentation av uppgift Process 4 Vår uppgift var uppdelad i tre delar. Första uppgiften bestod av att sekvensstyra tre cylindrar samt en positioneringsenhet. Sekvensen skulle till synes vara så att: cylinder 1 ska gå till sitt främre läge och genast återgå till sitt bakre läge. Därefter ska positionering ske till 20 mm, varvid två andra sekvenser ska ske parallellt. Cylinder 2 ska gå fram och tillbaka 3 ggr och cylinder 3 ska gå fram, vänta i 2,5 sekund och därefter återvända till sitt bakre läge. När dessa tre parallella sekvenser alla är slutförda, ska cylinder 1 göra ett fram och återgående slag. Efter detta sker positionering till 43 mm, väntar där i 2 sekunder varefter den återvänder till sitt 0-läge. En presentation av slädens position mellan 0 till 63 mm skall också göras. Genom att bygga en positioneringsenhet och ta in pulssignalen från motoraxelns pulsgivare, samt en nollställningssignal från bakre gränslägesgivare till en enchipsdator PIC16F84, skall positionen bestämmas kontinuerligt. PIC:en ger ut en 6-bitars binär kod som motsvarar positionen i millimeter. Sist men inte minst var uppgiften att skriva en rapport om hela projektet. 5

6 4. Funktionsbeskrivning av PLC programmeringen Vi programmerade Mitsubishi PLC:n i ett program som heter GX IEC Developer, som arbetar med Function Block Diagrams (FBD) och Sequential Function Charts (SFC). Eftersom vi hade till uppgift att sekvensstyra flera olika processer så valde vi att arbeta till största delen i SFC. Detta gjorde att vi kunde programmera sekvensen i små steg, en enkel steg för steg programmering. Men vi var fortfarande tvungna att programmera en del i FBD för att kunna sätta och radera minnen och register. 4.1 Programmering i SFC Sekvens 1 Vi började att med papper och penna rita upp flödesscheman som vi flitigt diskuterade om. Efter att ett fullskaligt flödesschema var skapat började vi föra in detta i programmet. Vi matade in det på så vis att vi testade sekvens för sekvens, i stället för att mata in allt, detta underlättade vår felsökning av schemat. Initial är början på programmet. Vår fysiska start sätter minnet drift (fig. 4.2). Fig. 4.2 Nästa steg kontrollerar om cylinder 1 är i bakre läge samt om drift är satt och om släden är i sitt bakre läge. Därefter startar cylinder 1, programmet väntar till att cylinder 1 är i sitt främre läge. Då nollställs drift samtidigt som drift2 och drift3 sätts (fig. 4.3). Fig. 4.4 Fig

7 4.1.2 Sekvens 2 Efter steg ett så går sekvensen vidare till steg två. I steg två så har vi tre sekvenser som går parallellt: Cylinder 2 som skall gå tre gånger fram och tillbaka. Cylinder 3 som skall gå till sin främre position, vänta 2.5s och gå tillbaka. Positioneringsenheten skall flytta släden 20mm Cylinder 2 Vi börjar att kolla på cylinder 2 (fig. 4.5): Först så kan man se ett block som heter AktivDRIFT2. Detta är ett tomt block vars enda funktion är att uppfylla GX IEC Developers krav på att man inte kan ha två villkor efter varandra (det finns flera sådana block, men vi kommer inte ta upp dom mer). I nästa steg så kollar vi först och främst om cylinder 2 är i sitt bakre läge, är den det så aktiverar vi den så att den går framåt. Här har vi två villkor, ett som kontrollerar ifall count1 är satt, det andra att cylinder 2 är i sitt främre läge och att count1 inte är satt. Fig. 4.5 När cylinder 2 når det främre läget för första gången så hoppar den upp igen genom "Hopp samtidigt som en räknare ökas (fig. 4.6). När räknaren ökats tre gånger så aktiveras count1 och räknaren nollställs. Sekvensen kommer nu inte längre hoppa, utan nollställer drift2 och inväntar de andra sekvenserna. Fig

8 Cylinder 3 Stanna satte även drift3 (fig. 4.3) som startar cylinder 3, vars uppgift är att stanna i sitt främre läge i 2,5 sekund. Programmet kollar om cylindern är i sitt bakre läge samt om drift3 är satt. Cylinder 3 aktiveras, så fort den har kommit till sitt främre läge startas en timer (fig. 4.8) som räknar tiden. Efter tiden nollställs drift3. Fig. 4.8 Fig Motor Blocket MOTORFRAM sätter mfram så att motorn börjar röra sig framåt. Mfram sätter även igång en räknare (fig. 4.9) som räknar pulserna givna från positioneringsenheten. Det går fem pulser per millimeter varav vi satte räknaren till att räkna upp till 100 (20mm). När positioneringsenheten rört sig 20mm framåt så sätter räknaren count2, som i sin tur nollställer mfram så att motorn stannar. Därefter så går den vidare till NTOHING (tomt block) där den inväntar de andra sekvenserna. Fig Fig

9 4.1.3 Sekvens 3 Vi börjar sekvens 3 (fig4.11) med att kontrollera om alla de parallella sekvenserna är färdiga. Detta gör vi genom att kolla så att både cylinder 2 och 3 är i sin respektive bakre position samt att motorn inte rör sig framåt längre. När alla villkoren är uppfyllda så sätts cyl1 i AktivCyl1 så att den rör sig framåt. När den kommit till sin främre position så inaktiveras den genom att hoppa vidare till ett tomt block, varefter programmet inväntar på att den återvänder till sitt bakre läge. Vi går vidare till blocket MOTORFRAM2, där startar vi motorn återigen och en annan räknare (fig. 4.12), som även räknat pulserna ifrån föregående förflyttning, börjar räkna igen. Den här gången så har vi ställt in räknaren Fig på 215 (43mm). Då positioneringsenheten Fig 4.12 förflyttat sig sina ytterliga 23mm sätts count3, som i sin tur nollställer mfram (fig. 4.13). I WAIT blocket så startar vi en klocka (fig4.14) som låter positioneringsenheten vänta i 2s innan den sätter mbak. Motorn rör sig nu bakåt, och när positioneringsenheten kommit till sitt bakre läge är sekvensen färdig. Vi hoppar up till INITIAL och inväntar signal från startknappen igen. Fig Fig För kompletta scheman se bilaga A och B. 9

10 5. Funktionsbeskrivning av Positioneringsenhet 5.1 Beskrivning Positioneringsenhetens uppgift är att presentera slädens position på två stycken 7-segments Led Displayer. Enheten tar in pulssignaler från motoraxelns pulsgivare, den ska även kunna ta in en nollningssignal från den bakre gränslägesgivaren som släden aktiverar när den är i det bakre läget. Samt ta in en signal som anger om motorn går fram eller bak. Signalerna skickas till en enchipsdator PIC16F84 som ska behandla signalerna, samt skicka ut en 6-bitars binärkod som anger slädens nuvarande position till PLD:n. Sen omvandlar koden till två 4-bitars signaler, en för varje siffra. Signalen skickas vidare till två stycken BCD:er vars uppgift är att konvertera 4-bits signalen till 7-segmentskod och vidare in till Displayerna. Ett komplett kretsschema kan ses i bilaga E. Fig

11 5.2 Programmerbara kretsar De programmerbara kretsarna i vår uppgift är en PIC16F84 och PALCE22010H som är en Programable Logic Device PIC16f84 PIC:en är designad som en liten dator med minnen, stack, adressregister, in och utgångar samt ett EEPROM (Electrically Erasable Programmable Read- Only-Memory). Programspråket vi använder oss av för att programmera kretsen är assembler. Fig 5.2 Vi ska gå in lite noggrannare på vilken uppgift PIC:en var tvungen att utföra åt oss. För det första så skall den ta emot pulser ifrån positioneringsenhetens pulsgivare, men vi får in fem pulser per millimeter. Alltså är PIC:en tvungen att räkna fem pulser innan den påverkar värdet på displayen. Detta gjorde vi genom att sätta in värdet 251(FBh) i tmr0 (som är vår pulsräknare). För att när PIC:en räknat fem pulser så är värdet i tmr0 0, och då blir Z-flaggan satt. Alltså kan vi efter var femte puls sätta tmr0 till 251 och vänta på att Z-flaggan blir satt. Då den blir satt ökar eller sänker vi värdet som går ut på portb, beroende på om motorn går framåt eller inte och sätter tmr0 till 251 igen. En annan viktig funktion är nollställningen. Varje gång som släden kommer tillbaka till sitt bakre läge och aktiverar gränslägesgivaren så nollställer vi värdet på portb och tmr0. Så att alla felande pulser och/eller värden rensas efter varje körning. För mer utförlig beskrivning se den kommenterade källkoden i bilaga C Kommentar: Ett problem med våran programmering av PIC:en är att den avger en 6-bitars signal, vilket gör att den kan aldrig räkna över 63. Detta var nu inget större problem för oss, då släden bara skulle gå 43 mm från utgångsläget. 11

12 5.2.2 PLD (PALCE22V10H) Från PIC:n kommer informationen om vilket läge som positioneringsenheten befinner sig vid, i binär form. Vi har programmerat PLD:n så att den har en 6-bitars ingång och två 4-bitars utgångar. De 6 bitarna på ingången kommer från PIC:n. De två 4-bitars utgångarna kopplas till ingångarna på två BCD:er som i sin tur driver segmenten på displayerna. Beroende på hur du skriver ditt program och när du kompilerar det, så väljer kompilatorn ut vilka portar som ska gå vart. På grund av detta har vi kopplat symboliskt i kretsschemat från PLD:n till BCD:erna. Fig. 5.3 För mer utförlig beskrivning se den kommenterade källkoden i bilaga D 12

13

14 .// $/ &5 0'12 3.-* 0'12-4* 0'12 3* 67(( $/. -5 0' * $/ ' * 0'785 * $/ $/ 576.) 0'785 * 0' * 0' * 67(( $/! :.5 0' * 0'12-4* 0'12 3* 0'785 * 0'4(7& 3*..5.2;&5<(.// 43= &5<($/ 12= &5<(&5 &57(= &5<($/.-5 &5<($/ 12= &5<(.35.9 &5;.-5= &5<($/.-5!"#$%!" &$ '()*",!'&-* (

15 &5<($/ 12= &5<(.35.9 &5<( = &5<(576.) &5<($/! 12= &5<(.35.9 &5<( = &5<(:.5 28&5= 504(7&3= 50785= 50123= = = 50785= = = 50785= = = 50123= = (7&3=!"#$%!" &$ '()*",!'&-*>/" ">/ /" (

16 ,1 8'63* :1 "$-;./ 0% 12 8'63* :1; :31 316' ,1 316' ,1 316' ,1; <0,8 6:1 ; 6:1578 "2 => "$-?./ 0% , ,1!"#$%!" "&'()*"!&,* ) '

17 4, :1 <0;, ,1; 8':03 6:1 6:1578 "2 => "$-;./ 0% 12 6: ,8 8':03 6:1; 6:1578 "2 => 6:1; ,8 0: *9!"#$%!" "&'()*"!&,* )"$- '

18 status equ 0x03 ;Här sätter vi namn på olika addresser så vi slipper porta equ 0x05 ;att varje gång skriva den exakta addressen trisa equ 0x85 portb equ 0x06 trisb equ 0x86 tmr0 equ 0x01 optionr equ 0x81 clrf status ;Rensar status-minnet bsf status, 5 ;Använder bank1 movlw 0x00 movwf trisb movlw 0x1F movwf trisa movlw 0x28 movwf optionr ;Ställer in alla B-portar som utgångar ;Ställer in alla A-portar som ingångar ;Sätter TMR0 till en räknare. ;Och att den räknar på "rising-edge". bcf status, 5 ;Återgår till bank0 mloop movlw 0xFB movwf tmr0 fempuls_loop btfss porta, 3 goto ejbak clrf portb clrf tmr0 ;Kontrollerar om positionerings- ;enheten är i sitt bakre läge ;Om inte så hoppar programmet ;över nollställning av positionen ;Annars nollställer den positionen. ;Nollställer tmr0 så att inga felande ;pulser ligger kvar ejbak movf tmr0, 0 ;Kopierar tmr0 till sig självt för ;att påverka flaggorna btfss status, 2 ;Det här steget kontrollerar ifall ;Z flaggan har satts, och då vet vi ;att det hargått fem pulser, och vi ;kan gå vidare i programmet. Om det ;inte har gått fem pulser så hoppar goto fempuls_loop ;vi tillbaka och kontrollerar igen. btfss porta, 2 decf portb, 1 btfsc porta, 2 incf portb, 1 goto mloop END ;kontrollerar riktningen av motorn, genom ;att kontrollera ifall ingången RA0 är '1' ;eller '0'. Sen ökar den eller sänker ;värdet på portb beroende på riktning av motorn ;Hoppar tillbaka till början och startar om.

19 library ieee; use ieee.std_logic_1164.all; Här väljer vi vilket bibliotek vi vill använda samt vilken del av det. entity omvandlarn is port(x: in std_logic_vector(0 to 5); end omvandlarn; fyrbit: out std_logic_vector(0 to 7)); Ställer in att "omvandlarn" använder inport 0-5 Ställer in att "omvandlarn" användet utport 0-7 architecture utseende of omvandlarn is Påbörjar beskrivningen av hur kretsen är "byggd". Alltså vilka utsignaler som genereras av olika insignaler. begin fyrbit<=" " when x = "000001" else Här har vi alltså en väligt enkel " " when x = "000010" else uppbyggnad av programmet, massvis av " " when x = "000011" else "ersättningsvillkor". Som exempelvis det " " when x = "000100" else första villkoret: insignalen på PLDn är: " " when x = "000101" else , så kommer utsignalen att vara: " " when x = "000110" else Nu ser dom tillsynes likadana " " when x = "000111" else ut, men det beror på att vi inte kommit " " when x = "001000" else upp till 10 än. Om vi tar ett exempel " " when x = "001001" else lite längre ner (markerat med <-) så kan " " when x = "001010" else man se att utsignalen skiljer sig " " when x = "001011" else avsevärt från insignalen. " " when x = "001100" else " " when x = "001101" else I det markerade exemplet nedan ser vi " " when x = "001110" else att insignalen är "011111" alltså " " when x = "001111" else siffran 32 decimalt. Om vi tar en titt " " when x = "010000" else på utsignalen så ser vi: " ". " " when x = "010001" else Delar vi upp denna till två fyrabits- " " when x = "010010" else värden får vi "0011" och "0001" " " when x = "010011" else alltså siffrorna 3 och 1. Signalerna " " when x = "010100" else kan sedan skickas till varsin BCD för " " when x = "010101" else att på två 7-segments displayer, visa " " when x = "010110" else siffran 31. " " when x = "010111" else " " when x = "011000" else " " when x = "011001" else " " when x = "011010" else " " when x = "011011" else " " when x = "011100" else " " when x = "011101" else " " when x = "011110" else " " when x = "011111" else <- "31" " " when x = "100000" else " " when x = "100001" else " " when x = "100010" else " " when x = "100011" else " " when x = "100100" else " " when x = "100101" else " " when x = "100110" else " " when x = "100111" else " " when x = "101000" else " " when x = "101001" else " " when x = "101010" else " " when x = "101011" else " " when x = "101100" else " " when x = "101101" else " " when x = "101110" else " " when x = "101111" else

20 " " when x = "110000" else " " when x = "110001" else " " when x = "110010" else " "; Om PLDn skulle ta emot ett värde som vi inte gjort ett villkor för så skickas: " " ut. end utseende;

21

22 Logic Control Project The purpose of our project was to learn more about mechatronical systems, enhance our English and to get more experience with team working. Our project tasks were to make a sequence steering with a position presentation. In plain English, our work was to steer three pneumatic cylinders and a position unit. The unit moved along a ruler so that you could see it s position. The mechanical parts were already assembled on a laboratory Mecman-plate, and connected with a Mitsubishi PLC-system. We had to program the system by ourselves with GX IEC Developer, which works with function block diagrams (FBD) and Sequential Function Charts (SFC). This project forced us to learn much about the Mitsubishi PLC-system and how to use a new type of programming that we had never used before. The three cylinders and the position unit would move independently of each other. We decide to do most of the programming with help of SFC instead of FBD. This allowed us to program the whole sequence in small steps. Because we did not have any user inputs, except the start button, which makes the programming of the PLC-system a simple step by step procedure. Some of the programming still had to be made in FBD, where we decided which inputs would set or reset the memories. The special sequence given to us by our lecturer was the following: 1. The first cylinder was to make a forth and back punch. 2. The second cylinder would move forth and back three times. Meanwhile the third cylinder was to move to it s front position, wait there for two and a half second and then return back. Our position unit was to move twenty millimetres. 3. Then the position unit waits for the first cylinder to make another forth and back punch. 4. The position unit moves another twenty three millimetres along the ruler and stops at forty three. When that was done we had to build a presentation unit of two Single Digit Displays, a seven-segment unit, a PIC16F84 and a PALCE22 circuit to present where the position unit stood. The PIC is designed like a small computer with memory, stack, address register, Input and Output ports and an EEPROM. The programmable part of the PIC is the EEPROM. The language used to program the circuit is assembler. When we programmed the PIC, we decided to use the special counter function that is integrated in one of the ports. The counter received the pulses given by the position unit. Then we programmed the PIC to calculate these pulses and then to send out a six-bit signal. Because we only use a six-bit signal the maximal number sent out by the PIC is sixty three. This is not a problem for us, because the position unit only moves to forty three and no further. 1

23 The six-bit signal is then sent to the PALCE22 circuit, which we also were to program to divide it into two four bit signals, and then send it further on to the seven-segment latch and driver. The seven-segment latch and driver sent the signal to our Leddisplay where the presentation was given. As this project proceeded, we learnt more about connecting different systems, both mechanical and electronical. We also learned some technical English. We had never programmed with the help of function block diagrams or Sequential function carts before this project, only with ladder diagrams. Therefore this has been a really healthy experience for us. By: Christian Gruffman and Kristinn Sigmundsson. 2

Styrteknik : Programmering med IEC 61131-3. Styrteknik

Styrteknik : Programmering med IEC 61131-3. Styrteknik PLC1B:1 Styrteknik Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner PLC1B:2 PLC står för Programmable Logical Controller Kom

Läs mer

Styrteknik: Binära tal, talsystem och koder D3:1

Styrteknik: Binära tal, talsystem och koder D3:1 Styrteknik: Binära tal, talsystem och koder D3:1 Digitala kursmoment D1 Boolesk algebra D2 Grundläggande logiska funktioner D3 Binära tal, talsystem och koder Styrteknik :Binära tal, talsystem och koder

Läs mer

Föreläsningsanteckningar till Konstruktionsmetoder 981027

Föreläsningsanteckningar till Konstruktionsmetoder 981027 Föreläsningsanteckningar till Konstruktionsmetoder 981027 Jämförelse mellan 68705P3 och 16F84 externt MC68705P3 PIC16F84 I/O 20 13 Kapsling 28-pin DIL 18-pin DIL Drivförmåga på pinnar PortB 10mA Sink,

Läs mer

Sekvensstyrning Grafcet och IEC

Sekvensstyrning Grafcet och IEC Sekvensstyrning Grafcet och IEC 61131-3 Indtroduktion GRAFCET Tekniken grundades i Frankrike på 1970-talet och ligger till grund för ett standardiserat programspråk i enlighet med standard IEC 61131-3.

Läs mer

Support Manual HoistLocatel Electronic Locks

Support Manual HoistLocatel Electronic Locks Support Manual HoistLocatel Electronic Locks 1. S70, Create a Terminating Card for Cards Terminating Card 2. Select the card you want to block, look among Card No. Then click on the single arrow pointing

Läs mer

Övningsuppgifter i Mikrodatorteknik för U2

Övningsuppgifter i Mikrodatorteknik för U2 i Mikrodatorteknik för U2 Skriv instruktioner som utför följande operationer (angivna tal antas givna i hexadecimal form om inget annat anges): 1. (0x20) + 25 (0x30) 2. (0x22) - (0x31) (0x25) 3. (w) +

Läs mer

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik Programmerbara kretsar och VHDL 2 Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik 2 Dagens föreläsning Programmerbara kretsar igen Mer om processer Egna typer Använda

Läs mer

Det finns en handledning till kortet på hemsidan. AVR STK500.

Det finns en handledning till kortet på hemsidan. AVR STK500. Laboration 1 (ver 1) Uppgifter: AVR Studio 4.lnk Bli bekant med utvecklingskortet, och AVR studio. Skriva in program för binärräknare. Simulera detta samt ladda ner det till kortet. Förse ovanstående program

Läs mer

Övningsuppgifter STYRNING - i Mikrodatorteknik för U2 2010

Övningsuppgifter STYRNING - i Mikrodatorteknik för U2 2010 STYRNING - i Mikrodatorteknik för U2 2010 1. Uppgift M10 (5p) aug-09 Skriv ett program i PIC-assembler som - gör PortB till utport - ettställer bit 0, 3 och 4 i PortB - nollställer bit 5 och 6 i PortB

Läs mer

Beijer Electronics AB 2000, MA00336A, 2000-12

Beijer Electronics AB 2000, MA00336A, 2000-12 Demonstration driver English Svenska Beijer Electronics AB 2000, MA00336A, 2000-12 Beijer Electronics AB reserves the right to change information in this manual without prior notice. All examples in this

Läs mer

Styrteknik 4.5/7.5 hp distans: Tidskretsar, räknare

Styrteknik 4.5/7.5 hp distans: Tidskretsar, räknare PLC4B:1 Olika exempel med Timers och Counters En Enkel Timer med MELSEC IL Funktion: LD BTN_DGVG OUT T1 K50 LD T1 OUT LEDKG_RED Om BTN_DGVG trycks ned och hålls nedtryckt: => LEDKG_red = 1 efter 5.0 sek

Läs mer

1. Förpackningsmaskin / Packaging machine

1. Förpackningsmaskin / Packaging machine 1. örpackningsmaskin / Packaging machine venska: En förpackningsmaskin ser ut enligt nedanstående skiss. Den inkommande tuben matas fram med motorn. otorn går så länge som dess styrsignal är sann. Om tuben

Läs mer

Styrteknik: Grundläggande logiska funktioner D2:1

Styrteknik: Grundläggande logiska funktioner D2:1 Styrteknik: Grundläggande logiska funktioner D2:1 Digitala kursmoment D1 Boolesk algebra D2 Grundläggande logiska funktioner D3 Binära tal, talsystem och koder Styrteknik: Grundläggande logiska funktioner

Läs mer

Styrteknik : Funktioner och funktionsblock

Styrteknik : Funktioner och funktionsblock PLC2A:1 Variabler och datatyper Allmänt om funktioner och funktionsblock Programmering av funktioner Programmering av funktionsblock PLC2A:2 Variabler i GX IEC Developer Global and Local Variables Variables

Läs mer

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien DIGITAL- OCH MIKRODATORTEKNIK, U2 09.00 13.00 Tillåtna hjälpmedel: Instruktionslista PIC16F877A Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien Fullständiga lösningar skall inlämnas.

Läs mer

Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I

Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I Flervalsfrågor. A 2. C 3. B 4. D 5. A 6. B 7. C 8. D 9. C 0. B. B 2. C 3. A 4. C 5. A Problemuppgifter. Uttryckt i decimal form: A=28+32+8

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Trafikljus med SFC-programmering KURS El- och styrteknik för tekniker ET1015 INNEHÅLL LAB NR PLC 5 Ver 1.0 1. Inledning 2. Laborationskortet

Läs mer

Styrteknik: SFC Introduktion

Styrteknik: SFC Introduktion SFC_A:1 SFC = Sequential Function Chart Language SFC är ett grafiskt programspråk som används för att beskriva sekvenser i styrtekniska tillämpningar. Många styrtekniska uppgifter är sekvensiella, dvs

Läs mer

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler Exempeluppgift i Logikstyrning Inledning Idén med detta papper är att ge en allmän beskrivning av labbutrustningen och tips för hur man kan lösa olika praktiska problem i samband med laborationen. Läs

Läs mer

Konstruktionsmetodik för sekvenskretsar

Konstruktionsmetodik för sekvenskretsar Konstruktionsmetodik för sekvenskretsar Digitalteknik Föreläsning 7 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Inför laboration 2 Synkronisering av insignaler Asynkrona ingångar

Läs mer

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson 2003-09-15 v 2.1 DIGITALTEKNIK Laboration D163 Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner

Läs mer

Programmerbara styrsystem

Programmerbara styrsystem Styrteknik ETB016 Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner Programmerbara styrsystem PLC står för Programmable Logical

Läs mer

Minneselement,. Styrteknik grundkurs. Digitala kursmoment. SR-latch med logiska grindar. Funktionstabell för SR-latchen R S Q Q ?

Minneselement,. Styrteknik grundkurs. Digitala kursmoment. SR-latch med logiska grindar. Funktionstabell för SR-latchen R S Q Q ? Styrteknik grundkurs Digitala kursmoment Binära tal, talsystem och koder Boolesk Algebra Grundläggande logiska grindar Minneselement, register, enkla räknare Analog/digital omvandling SR-latch med logiska

Läs mer

LUNDS TEKNISKA HÖGSKOLA Institutionen för Elektro- och Informationsteknik

LUNDS TEKNISKA HÖGSKOLA Institutionen för Elektro- och Informationsteknik LUNDS TEKNISKA HÖGSKOLA Institutionen för Elektro- och Informationsteknik SIGNALBEHANDLING I MULTIMEDIA, EITA50, LP4, 209 Inlämningsuppgift av 2, Assignment out of 2 Inlämningstid: Lämnas in senast kl

Läs mer

INGENJÖRSHÖGSKOLAN INGENJÖRSHÖGSKOLAN

INGENJÖRSHÖGSKOLAN INGENJÖRSHÖGSKOLAN Dagens föreläsning Inbyggnadsprocessorer - och programmering ❾ Översikt PIC-processorn ❿ Familj ❿ Flash / EPROM ❿ Introduktion: 16F84 ❾ ❿ Harvard / von Neumann ❿ CISC / RISC ❿ Pipelining ❾ Programmering

Läs mer

Lösenordsportalen Hosted by UNIT4 For instructions in English, see further down in this document

Lösenordsportalen Hosted by UNIT4 For instructions in English, see further down in this document Lösenordsportalen Hosted by UNIT4 For instructions in English, see further down in this document Användarhandledning inloggning Logga in Gå till denna webbsida för att logga in: http://csportal.u4a.se/

Läs mer

'HOWHQWDPHQ 6\VWHPNRQVWUXNWLRQ

'HOWHQWDPHQ 6\VWHPNRQVWUXNWLRQ 'HOWHQWDPHQ 6\VWHPNRQVWUXNWLRQ / VQLQJDURFKNRPPHQWDUHU Program: Elektroteknik, mikrodatorsystem Datum: 99-11-02 Tid: 8:00-9:30 Lokal E448 Hjälpmedel: Bilagor: Examinator: Miniräknare, linjal Datablad för

Läs mer

VHDL testbänk. Mall-programmets funktion. Låset öppnas när tangenten 1 trycks ned och sedan släpps. William Sandqvist

VHDL testbänk. Mall-programmets funktion. Låset öppnas när tangenten 1 trycks ned och sedan släpps. William Sandqvist VHDL testbänk Mall-programmets funktion Låset öppnas när tangenten 1 trycks ned och sedan släpps. Keypad och Statecounter Bra val av datatyper gör koden självförklarande! K: in std_logic_vector(1 to 3);

Läs mer

Digital elektronik CL0090

Digital elektronik CL0090 Digital elektronik CL9 Föreläsning 5 27-2-2 8.5 2. Naxos Demonstration av uartus programvara. Genomgång av uartus flödesschema. Detta dokument finns på kurshemsidan. http://www.idt.mdh.se/kurser/cl9/ VHDL-kod

Läs mer

LABORATIONER I STYRTEKNIK. Grundläggande PLC- programmering

LABORATIONER I STYRTEKNIK. Grundläggande PLC- programmering 1 LABORATIONER I STYRTEKNIK LABORATION : Grundläggande PLC- programmering 2 Övning 1. Rita ur reläschemat nedan ett ladderschema i GX IEC Developer. Kör programmet och prova funktionen med hjälp av ingångssimulatorn.

Läs mer

VHDL och laborationer i digitalteknik

VHDL och laborationer i digitalteknik V:1.1 VHDL och laborationer i digitalteknik Vid laborationskursen i digitalteknik används VHDL till alla laborationerna utom den första. VHDL är ett stort språk och enbart en liten del av språket behövs

Läs mer

std_logic & std_logic_vector

std_logic & std_logic_vector VHDL VHDL - Very high speed integrated circuit Hardware Description Language VHDL är ett komplext språk, avsett för att beskriva digitala system på olika abstraktionsnivåer (beteende- och strukturmässigt).

Läs mer

Programmerbar logik. Kapitel 4

Programmerbar logik. Kapitel 4 Kapitel 4 Programmerbar logik Programmerbar logik (PLC: Programmable Logic Controller; fi. ohjelmoitava logiikka) är en sorts mikrodatorliknande instrument som är speciellt avsedda för logik- och sekvensstyrningsproblem.

Läs mer

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I. Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.. Uttryckt i decimal form: A=28+32+8 + 2 =70 B=59 C=7 A+B+C=246 2. Jag låter A' betyda "icke A" A'B'C'D'+ABC'D'+A'BCD'+AB'CD'=D'(A'(B'C'+BC)+A(BC'+B'C))=

Läs mer

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov)

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov) 7HQWDPHQL.XQGDQSDVVDGHNUHWVDUI U(P Datum: 991012 Tid: 8.00-13.00 Lokal: E138 Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov) Vid eventuella frågor

Läs mer

Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1

Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1 Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1 Digitala kursmoment D1 Binära tal, talsystem och koder D2 Boolesk Algebra D3 Grundläggande logiska grindar D4 Minneselement, register,

Läs mer

Writing with context. Att skriva med sammanhang

Writing with context. Att skriva med sammanhang Writing with context Att skriva med sammanhang What makes a piece of writing easy and interesting to read? Discuss in pairs and write down one word (in English or Swedish) to express your opinion http://korta.nu/sust(answer

Läs mer

BOENDEFORMENS BETYDELSE FÖR ASYLSÖKANDES INTEGRATION Lina Sandström

BOENDEFORMENS BETYDELSE FÖR ASYLSÖKANDES INTEGRATION Lina Sandström BOENDEFORMENS BETYDELSE FÖR ASYLSÖKANDES INTEGRATION Lina Sandström Frågeställningar Kan asylprocessen förstås som en integrationsprocess? Hur fungerar i sådana fall denna process? Skiljer sig asylprocessen

Läs mer

Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien DIGITAL- OCH MIKRODATORTEKNIK, U2 11-01-12 09.00 13.00 Tillåtna hjälpmedel: Instruktionslista PIC16F877A Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien Fullständiga lösningar

Läs mer

DIGITALTEKNIK. Laboration D172

DIGITALTEKNIK. Laboration D172 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson 2006-02-24 v 1.2 DIGITALTEKNIK Laboration D172 Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner

Läs mer

VHDL 1. Programmerbara kretsar

VHDL 1. Programmerbara kretsar VHDL 1 Programmerbara kretsar CPLD FPGA VHDL Kombinatorik with-select-when when-else Sekvensnät process case if-then-else Programmerbara kretsar PLD = programmable logic device CPLD = complex PLD, i princip

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2008-08-29 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Johan Eriksson Tel 070 589 7911 Tillåtna

Läs mer

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik Konstruktionsmetodik för sekvenskretsar Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik 2 Dagens föreläsning Initiering av starttillstånd Programmerbar logik Syntesflödet

Läs mer

Styrteknik 7.5 hp distans: E-1000 och E-Designer

Styrteknik 7.5 hp distans: E-1000 och E-Designer PLC8A:1 E1000 operatörsterminaler En operatörsterminal ger ett gränssnitt mellan männinska-maskin, (MMI människa-maskininteraktion, HMI Human Machine Interface) Alla terminalerna i E1000-serien är utvecklade

Läs mer

Flödesschema som visar hur man använder Quartus II.

Flödesschema som visar hur man använder Quartus II. Flödesschema som visar hur man använder Quartus II. För att det skall bli lite enklare använder jag följande exempel: ut1

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION PLC-styrning av ett minimalt parkeringsgarage KURS El- och styrteknik för tekniker ET 1015 INNEHÅLL LAB NR 4 Ver 1.0 1. Inledning 2. Laborationskortet

Läs mer

Realtidsprogrammering. En introduktion Implementering (med exempel från PIC)

Realtidsprogrammering. En introduktion Implementering (med exempel från PIC) Realtidsprogrammering En introduktion Implementering (med exempel från PIC) Utan timing Periodtid varierar beroende på funktionernas exekveringstid. Specificera endast maxtid ( Worst case) och eventuellt

Läs mer

Sekvensnät. William Sandqvist

Sekvensnät. William Sandqvist Sekvensnät Om en och samma insignal kan ge upphov till olika utsignal, är logiknätet ett sekvensnät. Det måste då ha ett inre minne som gör att utsignalen påverkas av både nuvarande och föregående insignaler!

Läs mer

Problem som kan uppkomma vid registrering av ansökan

Problem som kan uppkomma vid registrering av ansökan Problem som kan uppkomma vid registrering av ansökan Om du har problem med din ansökan och inte kommer vidare kan det bero på det som anges nedan - kolla gärna igenom detta i första hand. Problem vid registrering

Läs mer

DIGITALA PROJEKT Väderstation

DIGITALA PROJEKT Väderstation DIGITALA PROJEKT Väderstation Christian Lindquist, E03 Leonardo Bello, E03 Abstract Almost everybody has some kind of temperature measurement device in their home. The latest in this industry are more

Läs mer

Telia Anita 20i Nummerpresentatören med telesvarsindikation

Telia Anita 20i Nummerpresentatören med telesvarsindikation SNABBGUIDE Bläddra bland lagrade telefonnummer Tryck eller en eller flera gånger. Radera ett nummer När numret visas i teckenrutan, tryck RADERA två gånger. Radera alla nummer Tryck eller en gång. Håll

Läs mer

SNABBGUIDE. Telia Anita 20 Bruksanvisning. Bläddra bland lagrade telefonnummer. Radera ett nummer. Radera alla nummer

SNABBGUIDE. Telia Anita 20 Bruksanvisning. Bläddra bland lagrade telefonnummer. Radera ett nummer. Radera alla nummer SNABBGUIDE Bläddra bland lagrade telefonnummer Tryck eller en eller flera gånger. Radera ett nummer När numret visas i teckenrutan, tryck RADERA två gånger. Radera alla nummer Tryck eller. Håll RADERA

Läs mer

Boiler with heatpump / Värmepumpsberedare

Boiler with heatpump / Värmepumpsberedare Boiler with heatpump / Värmepumpsberedare QUICK START GUIDE / SNABBSTART GUIDE More information and instruction videos on our homepage www.indol.se Mer information och instruktionsvideos på vår hemsida

Läs mer

Angående buffer. clk clear >=1 =9?

Angående buffer. clk clear >=1 =9? 10.VHDL3 Repetition buffer, record, loop kombinaoriska processer Varning latchar, hasard CPU-embryo VHDL-kod för mikromaskin med hämtfas Minnen i FGPA Distributed RAM (LUT) Block-RAM 1 Angående buffer

Läs mer

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg/Dan Weinehall/ Håkan Joëlson 2010-05-06 v 1.7 ELEKTRONIK Digitalteknik Laboration D184 Sekvensnät beskrivna med VHDL och realiserade

Läs mer

2.1 Installation of driver using Internet Installation of driver from disk... 3

2.1 Installation of driver using Internet Installation of driver from disk... 3 &RQWHQW,QQHKnOO 0DQXDOÃ(QJOLVKÃ'HPRGULYHU )RUHZRUG Ã,QWURGXFWLRQ Ã,QVWDOOÃDQGÃXSGDWHÃGULYHU 2.1 Installation of driver using Internet... 3 2.2 Installation of driver from disk... 3 Ã&RQQHFWLQJÃWKHÃWHUPLQDOÃWRÃWKHÃ3/&ÃV\VWHP

Läs mer

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp Institutionen för elektro- och informationsteknik Campus Helsingborg, LTH 2016-12-22 8.00-13.00 Uppgifterna i tentamen ger totalt 60

Läs mer

1. Varje bevissteg ska motiveras formellt (informella bevis ger 0 poang)

1. Varje bevissteg ska motiveras formellt (informella bevis ger 0 poang) Tentamen i Programmeringsteori Institutionen for datorteknik Uppsala universitet 1996{08{14 Larare: Parosh A. A., M. Kindahl Plats: Polacksbacken Skrivtid: 9 15 Hjalpmedel: Inga Anvisningar: 1. Varje bevissteg

Läs mer

KALKYLATOR LABORATION4. Laborationens syfte

KALKYLATOR LABORATION4. Laborationens syfte LABORATION4 KALKYLATOR Laborationens syfte I denna laboration ska en enkel kalkylator konstrueras med hjälp av VHDL och utvecklingsverktyget Vivado från Xilinx. Hårdvaran realiseras på det redan bekanta

Läs mer

Ladderprogrammering steg för steg

Ladderprogrammering steg för steg Ladderprogrammering steg för steg En introduktion till LD-programmering för kursen MIE 012 Elektroteknikens Grunder vid LTH. Gunnar Lindstedt Introduktion Den dominerande typen av styrsystem för binära

Läs mer

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1 Styrteknik Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner

Läs mer

Protokoll Föreningsutskottet 2013-10-22

Protokoll Föreningsutskottet 2013-10-22 Protokoll Föreningsutskottet 2013-10-22 Närvarande: Oliver Stenbom, Andreas Estmark, Henrik Almén, Ellinor Ugland, Oliver Jonstoij Berg. 1. Mötets öppnande. Ordförande Oliver Stenbom öppnade mötet. 2.

Läs mer

Kontrollskrivning Mikrodatorteknik CDT209 2007-09-20 S2-704

Kontrollskrivning Mikrodatorteknik CDT209 2007-09-20 S2-704 Kontrollskrivning Mikrodatorteknik CDT209 2007-09-20 S2-704 Svar Svar till uppgifterna lämnas på separat papper. En poäng per uppgift. Max 30 poäng. Bonuspoäng beräknas enligt följande tabell: 6-10 poäng

Läs mer

Kvalitetsarbete I Landstinget i Kalmar län. 24 oktober 2007 Eva Arvidsson

Kvalitetsarbete I Landstinget i Kalmar län. 24 oktober 2007 Eva Arvidsson Kvalitetsarbete I Landstinget i Kalmar län 24 oktober 2007 Eva Arvidsson Bakgrund Sammanhållen primärvård 2005 Nytt ekonomiskt system Olika tradition och förutsättningar Olika pågående projekt Get the

Läs mer

12.6 Heat equation, Wave equation

12.6 Heat equation, Wave equation 12.6 Heat equation, 12.2-3 Wave equation Eugenia Malinnikova, NTNU September 26, 2017 1 Heat equation in higher dimensions The heat equation in higher dimensions (two or three) is u t ( = c 2 2 ) u x 2

Läs mer

SkillGuide. Bruksanvisning. Svenska

SkillGuide. Bruksanvisning. Svenska SkillGuide Bruksanvisning Svenska SkillGuide SkillGuide är en apparat utformad för att ge summativ återkoppling i realtid om hjärt- och lungräddning. www.laerdal.com Medföljande delar SkillGuide och bruksanvisning.

Läs mer

Inledning. Vad är ett datorprogram, egentligen? Olika språk. Problemlösning och algoritmer. 1DV433 Strukturerad programmering med C Mats Loock

Inledning. Vad är ett datorprogram, egentligen? Olika språk. Problemlösning och algoritmer. 1DV433 Strukturerad programmering med C Mats Loock Inledning Vad är ett datorprogram, egentligen? Olika språk Problemlösning och algoritmer 1 (14) Varför använda en dator? Genom att variera de program som styr datorn kan den användas för olika uppgifter.

Läs mer

Adding active and blended learning to an introductory mechanics course

Adding active and blended learning to an introductory mechanics course Adding active and blended learning to an introductory mechanics course Ulf Gran Chalmers, Physics Background Mechanics 1 for Engineering Physics and Engineering Mathematics (SP2/3, 7.5 hp) 200+ students

Läs mer

Digital elektronik CL0090

Digital elektronik CL0090 Digital elektronik CL9 Föreläsning 3 27--29 8.5 2. My Talsystem Binära tal har basen 2 Exempel Det decimala talet 9 motsvarar 2 Den första ettan är MSB, Most Significant Bit, den andra ettan är LSB Least

Läs mer

FORTA M315. Installation. 218 mm.

FORTA M315. Installation. 218 mm. 1 Installation 2 1 2 1 218 mm. 1 2 4 5 6 7 8 9 2 G, G0= Max 100 m 1.5 mm² (AWG 15) X1, MX, Y, VH, VC = Max 200 m 0.5 mm² (AWG 20) Y X1 MX VH VC G1 G0 G 0 V 24 V~ IN 0-10 0-5, 2-6 60 s OP O 1 2 4 5 6 7

Läs mer

Struktur: Elektroteknik A. Digitalteknik 3p, vt 01. F1: Introduktion. Motivation och målsättning för kurserna i digital elektronik

Struktur: Elektroteknik A. Digitalteknik 3p, vt 01. F1: Introduktion. Motivation och målsättning för kurserna i digital elektronik Digitalteknik 3p, vt 01 Struktur: Elektroteknik A Kurslitteratur: "A First Course in Digital Systems Design - An Integrated Approach" Antal föreläsningar: 11 (2h) Antal laborationer: 4 (4h) Examinationsform:

Läs mer

DESIGN AV SEKVENTIELL LOGIK

DESIGN AV SEKVENTIELL LOGIK DESIGN AV SEKVENTIELL LOGIK Innehåll Timing i synkrona nätverk Synkrona processer i VHDL VHDL-kod som introducerar vippor (flip-flops) och latchar Initiering av register Mealy- och Moore-maskiner i VHDL

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #23 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Översikt När flera minnesmoduler placeras i processorns

Läs mer

Thunder s Truck projektrapport

Thunder s Truck projektrapport LTH Thunder s Truck projektrapport EITF11 Digitala projekt 2012-05-10 Abstract The goal of this project was to build a truck operated by an IP-remote. The robot is built using standard parts such as two

Läs mer

Tentamen i IE1204/5 Digital Design Torsdag 29/

Tentamen i IE1204/5 Digital Design Torsdag 29/ Tentamen i IE1204/5 Digital Design Torsdag 29/10 2015 9.00-13.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2018 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D TSEA51 Digitalteknik Y TSEA52 Digitalteknik

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #23 Översikt När flera smoduler placeras i processorns adressrum ansluts modulernas adressingångar till motsvarande ledningar i en. Övriga adressledningar i bussen

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2009-06-04 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

Tentamen SSY 065, lördag 14/4, 08:30-12:30, M. Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30

Tentamen SSY 065, lördag 14/4, 08:30-12:30, M. Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30 Industriautomation Tentamen SSY 065, lördag 14/4, 08:30-12:30, M Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30 Fullständig lösning ska lämnas på samtliga uppgifter. I förekommande

Läs mer

Information technology Open Document Format for Office Applications (OpenDocument) v1.0 (ISO/IEC 26300:2006, IDT) SWEDISH STANDARDS INSTITUTE

Information technology Open Document Format for Office Applications (OpenDocument) v1.0 (ISO/IEC 26300:2006, IDT) SWEDISH STANDARDS INSTITUTE SVENSK STANDARD SS-ISO/IEC 26300:2008 Fastställd/Approved: 2008-06-17 Publicerad/Published: 2008-08-04 Utgåva/Edition: 1 Språk/Language: engelska/english ICS: 35.240.30 Information technology Open Document

Läs mer

Laboration 3 i Digital- och Mikrodatorteknik. Utvecklingssystemet MPLAB IDE Grundläggande assemblerprogrammering för PIC

Laboration 3 i Digital- och Mikrodatorteknik. Utvecklingssystemet MPLAB IDE Grundläggande assemblerprogrammering för PIC Laboration 3 i Utvecklingssystemet MPLAB Grundläggande assemblerprogrammering för PIC Målet med laborationen är att få begrepp om Assemblerprogrammering med MPLAB Grundläggande assembler Enkel inmatning

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2010-08-27 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

Workplan Food. Spring term 2016 Year 7. Name:

Workplan Food. Spring term 2016 Year 7. Name: Workplan Food Spring term 2016 Year 7 Name: During the time we work with this workplan you will also be getting some tests in English. You cannot practice for these tests. Compulsory o Read My Canadian

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

Webbregistrering pa kurs och termin

Webbregistrering pa kurs och termin Webbregistrering pa kurs och termin 1. Du loggar in på www.kth.se via den personliga menyn Under fliken Kurser och under fliken Program finns på höger sida en länk till Studieöversiktssidan. På den sidan

Läs mer

Föreläsning 4 IS1300 Inbyggda system

Föreläsning 4 IS1300 Inbyggda system Föreläsning 4 IS1300 Inbyggda system Programutveckling Exempel PingPong Idé Tillståndsdiagram State machine Skapa projekt Testning av programvara Peripheral Library till STM32 Programmeringsuppgiften RS232

Läs mer

Självkörande bilar. Alvin Karlsson TE14A 9/3-2015

Självkörande bilar. Alvin Karlsson TE14A 9/3-2015 Självkörande bilar Alvin Karlsson TE14A 9/3-2015 Abstract This report is about driverless cars and if they would make the traffic safer in the future. Google is currently working on their driverless car

Läs mer

Installation av F13 Bråvalla

Installation av F13 Bråvalla Website: http://www.rbdesign.se Installation av F13 Bråvalla RBDESIGN FREEWARE - ESCK Norrköping-Bråvalla 1. Ladda ner och packa upp filerna i en mapp som du har skapat på ett lättöverskådligt ställe utanför

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2010-06-01 Skrivtid 9.00-14.00 (5 timmar) Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376

Läs mer

Health café. Self help groups. Learning café. Focus on support to people with chronic diseases and their families

Health café. Self help groups. Learning café. Focus on support to people with chronic diseases and their families Health café Resources Meeting places Live library Storytellers Self help groups Heart s house Volunteers Health coaches Learning café Recovery Health café project Focus on support to people with chronic

Läs mer

Product configurations Produire configuration Produkt konfigurationen Producto configuraciones Produkt konfigurationerna

Product configurations Produire configuration Produkt konfigurationen Producto configuraciones Produkt konfigurationerna Product configurations Produire configuration Produkt konfigurationen Producto configuraciones Produkt konfigurationerna 1 2 3 Ref. 3800 2360, Ver 2005-09 2 1. Keypad K900; includes TOM-Net terminators.

Läs mer

1. Compute the following matrix: (2 p) 2. Compute the determinant of the following matrix: (2 p)

1. Compute the following matrix: (2 p) 2. Compute the determinant of the following matrix: (2 p) UMEÅ UNIVERSITY Department of Mathematics and Mathematical Statistics Pre-exam in mathematics Linear algebra 2012-02-07 1. Compute the following matrix: (2 p 3 1 2 3 2 2 7 ( 4 3 5 2 2. Compute the determinant

Läs mer

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Institutionen för elektro- och informationsteknik Campus Helsingborg, LTH 2018-01-09 8.00-13.00 (förlängd 14.00) Uppgifterna i tentamen

Läs mer

Chapter 2: Random Variables

Chapter 2: Random Variables Chapter 2: Random Variables Experiment: Procedure + Observations Observation is an outcome Assign a number to each outcome: Random variable 1 Three ways to get an rv: Random Variables The rv is the observation

Läs mer

Övervakning & Programspråk

Övervakning & Programspråk Övervakning & Programspråk Denna PowerPoint är gjord för att du ska få en inblick i vad ett driftövervakningssystem är. Vad kan man se? Olika tekniska funktioner? Fördelar? Även en inblick i hur man programmerar

Läs mer

Vanliga frågor om Duocom (för installatör eller reparatör) GB Frequently asked questions about Duocom (for installer or repairman)

Vanliga frågor om Duocom (för installatör eller reparatör) GB Frequently asked questions about Duocom (for installer or repairman) Manual SE Vanliga frågor om Duocom (för installatör eller reparatör) GB Frequently asked questions about Duocom (for installer or repairman) 1 SE VANLIGA FRÅGOR OM DUCOM... 3 1.1 Det hörs inget ljud i

Läs mer

IE1204/IE1205 Digital Design

IE1204/IE1205 Digital Design TENTAMEN IE1204/IE1205 Digital Design 2012-12-13, 09.00-13.00 Inga hjälpmedel är tillåtna! Hjälpmedel Tentamen består av tre delar med sammanlagd tolv uppgifter, och totalt 30 poäng. Del A1 (Analys) innehåller

Läs mer

Datasäkerhet och integritet

Datasäkerhet och integritet Chapter 4 module A Networking Concepts OSI-modellen TCP/IP This module is a refresher on networking concepts, which are important in information security A Simple Home Network 2 Unshielded Twisted Pair

Läs mer

c a OP b Digitalteknik och Datorarkitektur 5hp ALU Design Principle 1 - Simplicity favors regularity add $15, $8, $11

c a OP b Digitalteknik och Datorarkitektur 5hp ALU Design Principle 1 - Simplicity favors regularity add $15, $8, $11 A basic -bit Select between various operations: OR, AND, XOR, and addition Full Adder Multiplexer Digitalteknik och Datorarkitektur hp Föreläsning : introduktion till MIPS-assembler - april 8 karlmarklund@ituuse

Läs mer