KOMBINATORISKA FUNKTIONER...1

Storlek: px
Starta visningen från sidan:

Download "KOMBINATORISKA FUNKTIONER...1"

Transkript

1 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg Håkan Joëlson v 1.4 Elektronik DIGITALTEKNIK Grunderna i VHDL Innehåll Inledning...1 KOMBINATORISKA FUNKTIONER...1 Entity. Mode. Type...2 Backus-Naur form...2 Architecture...3 Concurrent Statement...4 Parallell/Sekventiell...4 Alternativa programlösningar...6 Process...7 Fler programalternativ...8 Vector-deklarationer...8 SEKVENSFUNKTIONER...10 Moore. Mealy...10 Tillståndsdiagram...11 Moore-exempel...12 Three_process_FSM...13 Mealy-exempel...14

2 INLEDNING Under första hälften av 1990-talet expanderade elektronikindustrin kraftigt. Höghastighets datakommunikation och tillverkning av persondatorer, mobiltelefoner är exempel på sådana expansiva produktområden. Marknaden hade en efterfrågan på produkter med flera och mer komplexa funktioner, billigare kretsar med lägre effektförbrukning och med allt mindre dimensioner. Dessutom ville man att tiden från ide till färdig produkt skulle kunna väsentligt förkortas. För att möta den efterfrågan som marknaden hade krävdes att både de programmerbara logiska kretsarna (PLD s) och de språk som behövdes för att beskriva de logiska funktionerna i dessa kretsar utvecklades. De beskrivningsspråk som för närvarande (år 1999) dominerar är Verilog och VHDL. Många konstruktionsverktyg ger användaren möjlighet att välja något av dessa två språk som båda är standardiserade enligt IEEE-normen. Olika varianter av beskrivningsspråk, HDL (Hardware Description Language) utvecklades. HDL är en samlingsförkortning som används för många språk. Mot slutet av1980-talet utvecklades en form som fick namnet VHDL. V:et kommer från VHSIC (Very High Speed Integrated Circuit). VHDL står alltså för Very high speed integrated circuit Hardware Description Language standardiserades VHDL. Detta kompendium ska ge grundläggande information om VHDL. VHDL är ett ordrikt språk. Det är utvecklat för att passa både hårdvarukonstruktörer och programmerare. Innehållet i detta kompendium avser inte att beskriva VHDL på ett uttömmande sätt, men vill ge kunskaper i några språkkonstruktioner som är användbara för att beskriva enklare digitala funktioner. VHDL utvecklades för att beskriva och simulera digitala funktioner, inte för att konstruera (syntetisera) digital logik. Mer och mer användes det dock för syntes av logik. När man skriver VHDL-kod för syntes av logik är det viktigt att komma ihåg att det ursprungligen inte utvecklades för detta ändamål. Många språkkonstruktioner kan vara korrekt VHDL-syntax men omöjliga för syntesverktyg (konstruktionsprogramvara) att realisera (förverkliga med AND/ORlogik och vippor). De exempel som finns i detta häfte är alla skrivna med syfte att konstruktionerna ska realiseras i någon PLD. 1

3 KOMBINATORISKA FUNKTIONER ENTITY och ARCHITECTURE Två centrala begrepp i VHDL är Entity och Architecture. Entity är den kod som beskriver konstruktionens yttre anslutningar. I digitaltekniken kallar man dem portar. En port är en konstruktion som ger möjlighet till kommunikation med omvärlden. En figur beskriver enklast entityn s yttre anslutningar. Ingångar placeras vanligtvis till vänster och utgångar till höger. Pilar används också för att visa datariktning. In1 Entity ex1 Ut1 In2 In3 Ut2 Entity:ns portar är signaler. De kan vara av fyra sorter (modes). Mode beskriver datans riktning genom porten. MODE IN OUT INOUT BUFFER :Insignal :Utsignal (kan enbart tilldelas ett värde, inte läsas i architecturen) :Dubbelriktad signal :En slags signal som kan läsas i architecturen men ej användas som utsignal. TYPE Signalerna i entity:n måste ges en värdemängd. eller typ. Signaltyperna kan vara av många olika slag till exempel: TYPE VÄRDEMÄNGD bit 0 eller 1 bit_vector vektor där elementen kan anta värdena 0 eller 1. Ex 0100 boolean true/false integer heltal std_logic kan anta nio olika värden där 0 och 1 är två av dem std_logic_vector vektor där elementen kan anta nio olika värden där 0 och 1 är två av dem. Ex 0XH11ULW enumerated uppräkning av värden som kan antas t.ex. kalle, ulla o.s.v. time tid, minsta enhet Picosekunder. 2

4 SYNTAX För att beskriva VHDL-syntaxen generellt används Backus-Naur Form (BNF): Fetstil reserverade ord val av olika möjliga alternativ [ ] kan väljas. eller uteslutas. { } alternativa val som kan upprepas OBSERVERA att enl. VHDL-syntaxen ska varje statement eller declaration avslutas med semikolon!! Därför innehåller inte syntaxbeskrivningarna några semikolon!! Den generella beskrivningen av en entity med BNF är ENTITY entity entity_name is port ( identifier {,indentifier } :[mode] signaltype {;identifier {,identifier} [mode] signaltype} ); Så här kan entity:n i figuren ovan beskrivas med VHDL-kod. entity ex1 is port( In1 :in bit; In2,In3 :in bit; Ut1 :inout bit; ); Ut2 :out bit ARCHITECTURE Architecture är den VHDL-kod som beskriver sambandet mellan in och utsignaler. Här erbjuder VHDL ett flertal olika sätt att beskriva digitala funktioner. In1 Entity ex1 Ut1 In2 In3 ecture Som beskriver samband mellan in och utsignaler Ut2 Den generella beskrivningen av en architecture: architecture architecture_name of entity_name is type_declaration 3

5 signal_declaration constant_declaration component_declaration alias_declaration; attribute_specifikation subprogram_body {process_statement concurrent_signal_assignment_statement component_intstantiation_statement} I detta kompendium kommer följande moment att beskrivas: type_declaration, signal_declaration, component_declaration process_statement concurrent_signal_assignment_statement En architecture-beskrivning till entiteten ex1 kan se ut så här: architecture beh_ex1 of ex1 is ut2 <= ut1 or in3; ut1 <= in1 and in2; arcnitecture-namnet beh_ex1 är helt godtyckligt valt. ex1 är den entitet som architecturen beskriver. Signaltilldelning <= används för signaltilldelning (portar är signaler!!) CONCURRENT STATEMENTS Tilldelningsordningen mellan och end är helt godtycklig. Alla satser betraktas som samtidiga, så kallade concurrent_signal_assignment_statement. Satserna utförs så snart något till höger om tilldelningspilen ändrar värde, det vill säga tills utsignalerna får ett stabilt värde. Satser åtskiljs med semikolon. PARALLELL/SEKVENTIELL DATABEHANDLING All elektronik är till sin natur parallell. Man kan i praktiken se alla grindar och vippor som parallellt exekverande enheter. VHDL har språkkonstruktioner som kan hantera både parallell och sekventiell databehandling. Vissa kommandon är bara tillåtna i den parallella resp. sekventiella delen av koden. 4

6 Här följer en lista på vanligt förekommande VHDL-kommandon. Parallella konstruktioner Process When else With select Sekventiella konstruktioner If then else Case Variable declaration Variable assignment Loop statement Både parallella och sekventiella kommandon Signal assignment Boolean functions Declaration of types and constants Function and procedure calls Signal attributes VHDL-koden är parallell i hela architecturen utom inuti processer, funktioner och procedurer! Process är en central VHDL-konstruktion. Alla kod i processen exekveras sekventiellt och alltså är bara sekventiella instruktioner tillåtna. Vanliga sekventiella instruktioner är: If then else Case Motsvarande parallella kommandon är: When else With select Mer om processkonstruktionen senare i kompendiet. För att visa hur man med VHDL kan beskriva en digital funktion på olika sätt visas flera exempel med en MUX 2/1. d0 d1 entity mux2/1 architecture b_2/1 ut s En mux 2/1 väljer vilken av två datasignaler d0, d1 som ska överföras till utsignalen. Med s=0 => ut = d0 med s=1 => ut = d1 5

7 Konstruktionen kan göras med grindar enligt nedan s 1 d0 & ut d1 & Här följer koden för konstruktionens entity: entity mx2_1 is port( s,d0,d1 :in bit; ut :out bit ); Några förslag till architecture som realiserar en mux2_1 Boolean function architecture b_mx2_1 of mx2_1 is ut<= (not s and d0) or (s and d1); Exemplet beskriver konstruktionen med logiska funktioner. Observera att åtminstone den andra parentesen krävs för prioritetsordningen av funktionerna. architecture b_mx2_1 of mx2_1 is ut<= d0 when s='0' else d1; when... else Här används den parallella konstruktionen med when else. Syntax: {Signal_assignment when condition }else Signal_assignment; Architecturen kan även skrivas utan else architecture b_mx2_1 of mx2_1 is ut <= d0 when s='0'; ut <= d1 when s='1'; 6

8 Två varianter: with... select architecture b_mx2_1 of mx2_1 is with s select ut <= d0 when '0', d1 when '1'; architecture b_mx2_1 of mx2_1 is with s select ut <= d0 when '0', d1 when others; end Här används det reserverade kommandot others som representerar övriga alternativ. Others används ofta för att täcka in alla resterande möjliga fall. Syntax: with selection_expression select {identifier <= expression when identifier expression discrete_range others,} identifier <= expression when identifier expression discrete_range others; Alla exempel som hittills presenterats använder parallella kommandon mellan och end i architecturen. PROCESS STATEMENT PROCESS är en viktig VHDL-konstruktion. Om en architecture innehåller flera processer så betraktas dessa som parallella, det vill säga samtidiga, konstruktioner. Processen är ett subprogram där exekveringen är sekventiell. Syntax: [process_label:] process (sensitivity_list) {type_declaration constant_declaration variable_declaration alias_declaration} {wait_statement signal_assignment_statement variable_assignment_statement if_statement case_statement loop_statememt end process [process_lable]; 7

9 Observera att if, case och loop instruktioner bara är tillåtna i processer, funktioner och procedurer! OBS!! En process exekveras endast om någon av de signaler som finns i sensitivitetslistan ändrar värde!ingen sensitivitetslista medför att processen aldrig exekveras! Så här kan architecturen skrivas om man använder en process. architecture b_mx2_1 of mx2_1 is process (s,d0,d1) if s='0' then ut <= d0; else ut <= d1; end process; Inuti processen ovan används denna konstruktion. if... then... else Syntax: If condition then sequense_of_ assignment_statement {elsif condition then sequense_of_ assignment_statement} [else sequense_of_ assignment_statement] Om man i stället vill använda en case-sats kan architecturen skrivas: architecture b_mx2_1 of mx2_1 is process (s,d0,d1) case s is when '0' => ut <= d0; when '1' => ut <= d1; end process; Syntaxen för case-satsen är: case expression is {when identifier expression discrete_range others => sequence_of assignment_statements} VECTOR Ofta är det praktiskt att använda vectorer som signaler. I stället för att ge signaler namnen d0,d1,d2,d3 :in bit; så kan man namnge dem d :in bit_vector(3 downto 0); 8

10 Ett element i en vector kan man nå genom att skriva: d(2)= 0 eller d2<= 1 d(1 to 3)<= 101 Många fler aggregat (skrivsätt) finns att tillgå i VHDL-syntaxen. OBServera att vid typdeklarationen är den siffra som står till vänster i deklarationen den mest signifikanta! Därför används nästan uteslutande deklarationen d(7 downto 0). Elementet d(7) är då det mest signifikanta. Exempel 4 bitars Mux4_1 entity 4bit_mx_4/1 da 4 db dc architecture 4bit_mx_4/1 4 ut dd 2 s entity mx4_1 is port( s :in bit_vector(1 downto 0); da,db,dc,dd :in bit_vector (3 downto 0); ut :out bit_vector (3 downto 0) ); architecture bit4_mx4_1 of mx4_1 is with s select ut <= da when "00", db when "01", dc when "10", dd when "11"; Detta exempel visar hur enkelt man kan beskriva en ganska komplex kombinatorisk krets, med VHDL-kod. En beskrivning med logiska operatorer skulle bli mera omfattande. 9

11 SEKVENSFUNKTIONER (automater, maskiner ) MOORE OCH MEALY Det finns två huvudtyper av sekvensnät: Moore och Mealy Skillnaden och likhet framgår av nedanstående blockscheman. in Nxt State logic nxt Output logic ut pre clk State register Moore sekvensnät. Utsignalerna beror enbart av nuvarande tillstånd (pre). in Nxt State logic nxt Output logic ut pre clk State register Mealy sekvensnät. Utsignalen beror både av nuvarande tillstånd och aktuella insignaler. 10

12 TILLSTÅNDSDIAGRAM För att beskriva en funktionen hos sekvenskretsen behöver man beskriva såväl konstruktionens tillstånd som dess in- och utgångar. Ett sätt att göra en sådan beskrivning är med ett tillståndsdiagram. I VHDL används konstruktionens in och utgångar för att definiera portar i entiteten. Tillståndsdiagrammet är ett sätt att med en figur beskriva kretsens architecture. För att illustrera ett exempel visas följande figurer. gon=0 S1/u1 h=1 h=1 gon=0 v=1 S2/u2 S3/u3 h=1 gon=0 h v Sekvenskrets av Moore-typ ut gon Ibland bidrar en kompletterande text till att figurerna kan tolkas korrekt. Kretsen ska vara ett 3-bits-skiftregister som skiftar en etta åt höger (h) eller vänster (v). Om gon=0 stoppas skiftningen. På nästa sida ges ett förslag till VHDL-beskrivning av sekvenskretsen. Koden kommenteras senare. 11

13 Exempel på VHDL-kod för en Moore-maskin entity Moore_ex is port( gon,h,v :in bit; clk :in bit; u :out bit_vector(2 downto 0) ); architecture b_moore_ex of Moore_ex is type state is (s1,s2,s3); signal pre,nxt :state; --type declaration -- interna signaler p0: process(v,h,gon,pre) case pre is when s1 => if (v='0' and h='1' and gon='1') then nxt <= s2; elsif (h='0' and v='1' and gon='1') then nxt <= s3; else nxt <= s1; when s2 => if (v='0' and h='1' and gon='1') then nxt <= s3; elsif (h='0' and v='1' and gon='1') then nxt <= s1; else nxt <= s2; when s3 => if (v='0' and h='1' and gon='1') then nxt <= s1; elsif (h='0' and v='1' and gon='1') then nxt <= s2; else nxt <= s3; end process; p1: process(clk) if clk'event and clk='1' then pre <= nxt; end process; p2: process(pre) case pre is when s1 => u <= "100"; when s2 => u <= "010"; when s3 => u <= "001"; end process; 12

14 THREE-PROCESS-FSM VHDL-koden som redovisats på föregående sida är en så kallad, Three-Process-FSM (Finite State Machine). De tre processerna motsvarar var sitt block i blockschemat på sidan 10. Process P0 beskriver tillståndsdiagrammet med VHDL-kod och motsvarar det som i blockschemat benämnes Next State logic. Denna logik kommer ge upphov till ett kombinatoriskt nät av AND och OR-grindar som ska anslutas till vippornas preparatoriska ingångar. (D, RS eller JK - beroende på vilka vippor som är tänkta att användas). Denna logik avgör vilket som blir nästa tillstånd. Processen kallas för deklarationsdelen i koden. Process P1 avgör när ett tillståndsbyte ska ske. Processen aktiveras av tillståndsmaskinens klocka. I denna sekvenskrets kommer byte av tillstånd ske på positiv klockpulsflank. Processen benämnes synkroniseringsprocess, men kan även innehålla kod för asynkrona preset och reset-signaler. Processen motsvarar blocket State Register i blockschemat på sid. 10. Process P2 kallas i blockschemat för Output logic. Denna process ska ge upphov till ett kombinatoriskt nät av And och Or logik som genererar utsignaler beroende på i vilket tillstånd sekvensen befinner sig. Nyheter i koden är bland annat följande delar i architecturen: type state is (s1,s2,s3); signal pre,nxt :state; --type declaration -- interna signaler Vi behöver något i koden som representerar tillstånden. Därför deklarerar vi en ny typ, state, och anger vilken värdemängd den har. State är alltså en egenvald benämning liksom valet av tillståndsnamn. (s1, s2, s3) För att kunna kommunicera mellan blocken krävs två interna signaler. En från Nexte State logicblocket till registrens (vippornas) dataingångar, nxt, och en annan intern signal,pre, som anger vilket nuvarande tillstånd som kretsen befinner sig. I den synkrona processen anger koden: if clk'event and clk='1' then pre <= nxt; att byte av tillstånd ska ske på positiv klockpulsflank. 13

15 Exempel på VHDL-kod för en Mealy-maskin Här följer ett VHDL exempel som beskriver en Mealyautomat. Rita som övning ett tillståndsdiagram som illustrerar funktionen hos automaten. library ieee; use ieee.std_logic_1164.all; entity mealyex is port ( i :in std_logic_vector(2 downto 0); u :out std_logic_vector(2 downto 0); clk :in std_logic ); architecture b_mealyex of mealyex is type state is (s0,s1); signal cs,ns :state; p0:process (cs,i) case cs is when s0 => if i="000" then ns <= s1; else ns <= s0; when s1 => if i="001" then ns <= s0; else ns <= s1; end process; p1:process (clk) if rising_edge(clk) then cs <= ns; end process; p2:process (cs,i) case cs is when s0 => case i is when "001" => u <= "001"; when "101" => u <= "101"; when "100" => u <= "100"; when others => u <= "111"; when s1 => case i is when "000" => u <= "001"; when "101" => u <= "011"; when "100" => u <= "010"; when others => u <= "000"; end Process; 14

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs: UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg/Håkan Joëlson 2001-03-01 v 1.5 ELEKTRONIK Digitalteknik Laboration D159 Sekvensnät beskrivna med VHDL och realiserade med PLD

Läs mer

DIGITALTEKNIK. Laboration D172

DIGITALTEKNIK. Laboration D172 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson 2006-02-24 v 1.2 DIGITALTEKNIK Laboration D172 Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner

Läs mer

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg/Dan Weinehall/ Håkan Joëlson 2010-05-06 v 1.7 ELEKTRONIK Digitalteknik Laboration D184 Sekvensnät beskrivna med VHDL och realiserade

Läs mer

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson 2003-09-15 v 2.1 DIGITALTEKNIK Laboration D163 Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner

Läs mer

VHDL 1. Programmerbara kretsar

VHDL 1. Programmerbara kretsar VHDL 1 Programmerbara kretsar CPLD FPGA VHDL Kombinatorik with-select-when when-else Sekvensnät process case if-then-else Programmerbara kretsar PLD = programmable logic device CPLD = complex PLD, i princip

Läs mer

std_logic & std_logic_vector

std_logic & std_logic_vector VHDL VHDL - Very high speed integrated circuit Hardware Description Language VHDL är ett komplext språk, avsett för att beskriva digitala system på olika abstraktionsnivåer (beteende- och strukturmässigt).

Läs mer

VHDL och laborationer i digitalteknik

VHDL och laborationer i digitalteknik V:1.1 VHDL och laborationer i digitalteknik Vid laborationskursen i digitalteknik används VHDL till alla laborationerna utom den första. VHDL är ett stort språk och enbart en liten del av språket behövs

Läs mer

DESIGN AV SEKVENTIELL LOGIK

DESIGN AV SEKVENTIELL LOGIK DESIGN AV SEKVENTIELL LOGIK Innehåll Timing i synkrona nätverk Synkrona processer i VHDL VHDL-kod som introducerar vippor (flip-flops) och latchar Initiering av register Mealy- och Moore-maskiner i VHDL

Läs mer

Konstruktionsmetodik för sekvenskretsar

Konstruktionsmetodik för sekvenskretsar Konstruktionsmetodik för sekvenskretsar Digitalteknik Föreläsning 7 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Inför laboration 2 Synkronisering av insignaler Asynkrona ingångar

Läs mer

Digital elektronik CL0090

Digital elektronik CL0090 Digital elektronik CL9 Föreläsning 5 27-2-2 8.5 2. Naxos Demonstration av uartus programvara. Genomgång av uartus flödesschema. Detta dokument finns på kurshemsidan. http://www.idt.mdh.se/kurser/cl9/ VHDL-kod

Läs mer

Konstruktion av digitala system - VHDL

Konstruktion av digitala system - VHDL Konstruktion av digitala system - VHDL Digitalteknik - Föreläsning 10 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Programmerbara kretsar Kombinationskretsar i VHDL with-select-when,

Läs mer

Programmerbara kretsar och VHDL 1. Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL 1. Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL 1 Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik 3 Dagens föreläsning Programmerbara kretsar Kombinationskretsar

Läs mer

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik Konstruktionsmetodik för sekvenskretsar Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik 2 Dagens föreläsning Initiering av starttillstånd Programmerbar logik Syntesflödet

Läs mer

Programmerbara kretsar och VHDL. Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik 3 Dagens föreläsning Programmerbara kretsar Kombinationskretsar i VHDL with-select-when,

Läs mer

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Kursinformation VHDL-delen Lektion 7 : Datorlektion i VHDL+Xilinx [pdf] Lab 3 : Programmerbara

Läs mer

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik Programmerbara kretsar och VHDL 2 Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik 2 Dagens föreläsning Programmerbara kretsar igen Mer om processer Egna typer Använda

Läs mer

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Kursinformation Lektion 7 : Datorlektion i Modelsim+VHDL Lab 3 : Programmerbara kretsar och

Läs mer

PARALLELL OCH SEKVENTIELL DATABEHANDLING. Innehåll

PARALLELL OCH SEKVENTIELL DATABEHANDLING. Innehåll PARALLELL OCH SEKVENTIELL DATABEHANDLING Innehåll Parallellism i VHDL Delta delays och Simuleringstid VHDLs simuleringscykel Aktivering av Processer Parallella och sekventiella uttryck 1 Controller PARALLELLISM

Läs mer

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1 Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1 Från Wikipedia: Sekvensnät Ett sekvensnäts utgångsvärde beror inte bara på indata, utan även i vilken ordning datan kommer (dess sekvens).

Läs mer

Digitalteknik, fortsättningskurs Föreläsning VHDL Very High Speed Integrated Circuit Hardware Description Language

Digitalteknik, fortsättningskurs Föreläsning VHDL Very High Speed Integrated Circuit Hardware Description Language 1 Digitalteknik, fortsättningskurs Föreläsning 2-2012 VHDL Very High Speed Integrated Circuit Hardware Description Language VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Läs mer

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse GRUNDER I VHDL Innehåll Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse KOMPONENTMODELL Modell för att beskriva komponenter Externt interface Intern funktion

Läs mer

Programmerbar logik och VHDL. Föreläsning 4

Programmerbar logik och VHDL. Föreläsning 4 Programmerbar logik och VHDL Föreläsning 4 Förra gången Strukturell VHDL Simulering med ISim Strukturell VHDL Simulering test_bench specificerar stimuli Simulatorn övervakar alla signaler, virtuell logik-analysator

Läs mer

IE1205 Digital Design: F9: Synkrona tillståndsautomater

IE1205 Digital Design: F9: Synkrona tillståndsautomater IE25 Digital Design: F9: Synkrona tillståndsautomater Moore och Mealy automater F8 introducerade vippor och vi konstruerade räknare, skift-register etc. F9-F skall vi titta på hur generella tillståndsmaskiner

Läs mer

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna EDA321 Digitalteknik-syntes D2 och E3 GU DIT795 Tentamen (EDA321-0205) Fredag den 13 januari 2012, fm i M-salarna Examinator Arne Linde, tel. 772 1683 Tillåtna hjälpmedel Inga hjälpmedel tillåtna. Detta

Läs mer

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design onsdagen den 5/ Tentamen i IE1204/5 Digital Design onsdagen den 5/6 2013 9.00-13.00 Allmän information Exaator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista IE1204) Tentamensuppgifterna behöver

Läs mer

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design onsdagen den 5/ Tentamen i IE1204/5 Digital Design onsdagen den 5/6 2013 9.00-13.00 Tentamensfrågor med lösningsförslag Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista

Läs mer

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov)

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov) 7HQWDPHQL.XQGDQSDVVDGHNUHWVDUI U(P Datum: 991012 Tid: 8.00-13.00 Lokal: E138 Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov) Vid eventuella frågor

Läs mer

Angående buffer. clk clear >=1 =9?

Angående buffer. clk clear >=1 =9? 10.VHDL3 Repetition buffer, record, loop kombinaoriska processer Varning latchar, hasard CPU-embryo VHDL-kod för mikromaskin med hämtfas Minnen i FGPA Distributed RAM (LUT) Block-RAM 1 Angående buffer

Läs mer

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM Innehåll Designflöde Översikt av integrerade kretsar Motivation Hardware Description Language CAD-verktyg 1 DESIGNFLÖDE FÖR DIGITALA

Läs mer

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1.

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg 2005-01-01 ver 1.0 Grundläggande kunskaper om Strukturell VHDL och TESTBÄDD Innehållsförteckning. sid Strukturbeskrivning 2 Digitalkonstruktionen

Läs mer

Sekvensnät i VHDL del 2

Sekvensnät i VHDL del 2 Laboration 6 i digitala system ht-16 Sekvensnät i VHDL del 2 Realisering av Mealy och Moore i VHDL............................. Namn............................. Godkänd (datum/sign.) 2 Laborationens syfte

Läs mer

IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2

IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2 IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2 Sekvensnät Om en och samma insignal kan ge upphov till olika utsignal, är logiknätet ett sekvensnät. Det måste då ha ett inre minne som gör

Läs mer

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I. Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.. Uttryckt i decimal form: A=28+32+8 + 2 =70 B=59 C=7 A+B+C=246 2. Jag låter A' betyda "icke A" A'B'C'D'+ABC'D'+A'BCD'+AB'CD'=D'(A'(B'C'+BC)+A(BC'+B'C))=

Läs mer

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler,

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler, 9.17 Vi översätter beskrivningen till ett flödesdiagram, Figur E9.17a -c -c z=1 E A z=1 E A z=0 z=0 z=0 D z=0 D Figur E9.17a Flödesdiagram B z=0 B z=0 C z=0 C z=0 som vi i sin tur översätter till en flödestabell,

Läs mer

Sekvensnät Som Du kommer ihåg

Sekvensnät Som Du kommer ihåg Sekvensnät Som Du kommer ihåg Designmetodik Grundläggande designmetodik för tillståndsmaskiner. 1. Analysera specifikationen för kretsen 2. Skapa tillståndsdiagram 3. Ställ upp tillståndstabellen 4. Minimera

Läs mer

KALKYLATOR LABORATION4. Laborationens syfte

KALKYLATOR LABORATION4. Laborationens syfte LABORATION4 KALKYLATOR Laborationens syfte I denna laboration ska en enkel kalkylator konstrueras med hjälp av VHDL och utvecklingsverktyget Vivado från Xilinx. Hårdvaran realiseras på det redan bekanta

Läs mer

Sekvensnät. William Sandqvist

Sekvensnät. William Sandqvist Sekvensnät Om en och samma insignal kan ge upphov till olika utsignal, är logiknätet ett sekvensnät. Det måste då ha ett inre minne som gör att utsignalen påverkas av både nuvarande och föregående insignaler!

Läs mer

Omtentamen IE Digital Design Måndag 14/

Omtentamen IE Digital Design Måndag 14/ Omtentamen IE204-5 Digital Design Måndag 4/3 206 4.00-8.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist

Läs mer

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson, John Berge 203 DIGITALTEKNIK I Laboration DE2 Sekvensnät och sekvenskretsar Namn... Personnummer... Epost-adress... Datum för

Läs mer

Tentamen i IE1204/5 Digital Design måndagen den 15/

Tentamen i IE1204/5 Digital Design måndagen den 15/ Tentamen i IE1204/5 Digital Design måndagen den 15/10 2012 9.00-13.00 Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista IE1204), Tentamensuppgifterna

Läs mer

LABORATIONSINSTRUKTION LABORATION

LABORATIONSINSTRUKTION LABORATION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Sekvensnät med VHDL KURS Digitalteknik LAB NR Ver9 5 INNEHÅLL. Kaskadkopplad räknare 2. Elektronisk tärning NAMN KOMMENTARER PROGRAM/KURS

Läs mer

IE1204/IE1205 Digital Design

IE1204/IE1205 Digital Design TENTAMEN IE1204/IE1205 Digital Design 2012-12-13, 09.00-13.00 Inga hjälpmedel är tillåtna! Hjälpmedel Tentamen består av tre delar med sammanlagd tolv uppgifter, och totalt 30 poäng. Del A1 (Analys) innehåller

Läs mer

VHDL2. Sekvensnätsexemplet

VHDL2. Sekvensnätsexemplet VHDL2 Moder portsatsen Datatyper Ett exempel, stegmotorstyrning Labben Hierarkisk konstruktion, instantiering Kombinatorisk process Record, loop Sekvensnätsexemplet 1(0) 1(0) 1(1) 00 0(0) 01 11 10 1(1)

Läs mer

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Institutionen för elektro- och informationsteknik Campus Helsingborg, LTH 2018-01-09 8.00-13.00 (förlängd 14.00) Uppgifterna i tentamen

Läs mer

VHDL2. Sekvensnätsexemplet

VHDL2. Sekvensnätsexemplet VHDL2 Moder portsatsen Datatyper Ett exempel, stegmotorstyrning Labben Hierarkisk konstruktion, instantiering Kombinatorisk process Record, loop Sekvensnätsexemplet 1(0) 1(0) 1(1) 00 0(0) 01 11 10 1(1)

Läs mer

Design av mindre digitala system. Föreläsning Digitalteknik, TSEA52 Mattias Krysander Institutionen för systemteknik

Design av mindre digitala system. Föreläsning Digitalteknik, TSEA52 Mattias Krysander Institutionen för systemteknik Design av mindre digitala system Föreläsning Digitalteknik, TSEA52 Mattias Krysander Institutionen för systemteknik 2 Dagens föreläsning Kursinformation för HT2. Digitaltekniska byggblock Introduktion

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2018 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D TSEA51 Digitalteknik Y TSEA52 Digitalteknik

Läs mer

VHDL testbänk. Mall-programmets funktion. Låset öppnas när tangenten 1 trycks ned och sedan släpps. William Sandqvist

VHDL testbänk. Mall-programmets funktion. Låset öppnas när tangenten 1 trycks ned och sedan släpps. William Sandqvist VHDL testbänk Mall-programmets funktion Låset öppnas när tangenten 1 trycks ned och sedan släpps. Keypad och Statecounter Bra val av datatyper gör koden självförklarande! K: in std_logic_vector(1 to 3);

Läs mer

Tentamen i Digitalteknik 5p

Tentamen i Digitalteknik 5p Dan Weinehall Håkan Joëlson 007-0-09 ELEA5 Tentamen i Digitalteknik 5p Datum: 007-0-09 Tid: 09:00-5:00 Sal: Hjälpmedel: VHDL-kompendierna: Grunderna i VHDL, Strukturell VHDL och testbädd Labinstruktioner

Läs mer

IE1205 Digital Design: F11: Programmerbar Logik, VHDL för Sekvensnät

IE1205 Digital Design: F11: Programmerbar Logik, VHDL för Sekvensnät IE1205 Digital Design: F11: Programmerbar Logik, VHDL för Sekvensnät Programmable Logic Devices Under 1970-talet introducerades programmerbara logiska kretsar som betecknas programmable logic device (PLD)

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2008-08-29 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Johan Eriksson Tel 070 589 7911 Tillåtna

Läs mer

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare Sekvensiella System a(t) f(a(t)) Ett sekvensiellt system har ett inbyggt minne - utsignalen beror därför BÅDE av insignalens NUVARANDE

Läs mer

Digital Design IE1204

Digital Design IE1204 Digital Design IE1204 F10 Tillståndsautomater del II william@kth.se IE1204 Digital Design F1 F3 F2 F4 Ö1 Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombinatoriska

Läs mer

Tentamen IE Digital Design Måndag 23/

Tentamen IE Digital Design Måndag 23/ Tentamen IE104-5 Digital Design Måndag 3/10 017 14.00-18.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist

Läs mer

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Christer Ardlin/Lars Wållberg/ Dan Weinehall/Håkan Joëlson 2008-01-24 v 2.1 ELEKTRONIK Digitalteknik Laboration D181 Kombinatoriska kretsar,

Läs mer

Tentamen med lösningar för IE1204/5 Digital Design Torsdag 15/

Tentamen med lösningar för IE1204/5 Digital Design Torsdag 15/ Tentamen med lösningar för IE4/5 Digital Design Torsdag 5/ 5 9.-. Allmän information Eaminator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist, tel 8-79 44 87. KTH Valhallavägen, Fredrik Jonsson,

Läs mer

Digital Design IE1204

Digital Design IE1204 Digital Design IE1204 F9 Tillståndsautomater del1 william@kth.se IE1204 Digital Design F1 F3 F2 F4 Ö1 Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombinatoriska kretsar

Läs mer

Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I

Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I Flervalsfrågor. A 2. C 3. B 4. D 5. A 6. B 7. C 8. D 9. C 0. B. B 2. C 3. A 4. C 5. A Problemuppgifter. Uttryckt i decimal form: A=28+32+8

Läs mer

LEJON LABORATION3. Laborationens syfte

LEJON LABORATION3. Laborationens syfte LABORATION3 LEJON Laborationens syfte Syftet med laborationen är dels att lära känna laborationsutrustningen och dels att få en uppfattning om hur en digital konstruktion är uppbyggd, i detta fallet med

Läs mer

VHDL Basics. Component model Code model Entity Architecture Identifiers and objects Operations for relations. Bengt Oelmann -- copyright

VHDL Basics. Component model Code model Entity Architecture Identifiers and objects Operations for relations. Bengt Oelmann -- copyright BO 1 VHDL Basics Outline Component model Code model Entity Architecture Identifiers and objects Operations for relations Bengt Oelmann -- copyright 2002 1 Component model Model for describing components

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Institutionen för Elektroteknik LABORATION LABORATIONSINSTRUKTION LOG/iC, PLD, kombinatorik, sekvensnät KURS Digitalteknik LAB NR 6 INNEHÅLL. Inledning 2. Prioritetskodare 3. Elektronisk

Läs mer

F5 Introduktion till digitalteknik

F5 Introduktion till digitalteknik Exklusiv eller XOR F5 Introduktion till digitalteknik EDAA05 Roger Henriksson Jonas Wisbrant På övning 2 stötte ni på uttrycket x = (a b) ( a b) som kan utläsas antingen a eller b, men inte både a och

Läs mer

SEKVENSKRETSAR. Innehåll

SEKVENSKRETSAR. Innehåll SEKVENSKRETSAR Innehåll Synkrona sekvenskretsar Tillståndsdiagram / tillståndstabell Definition av Moore- och Mealy-maskiner Tillståndskodning Syntes av sekventiell logik Räknare SEKVENSKRETSAR EXEMPEL

Läs mer

L15 Introduktion modern digital design

L15 Introduktion modern digital design L15 Introduktion modern digital design Upplägg LP2 F15 Introduktion till modern digital design F16 Kombinatoriska nät i VHDL F17 Sekvensnät i VHDL F18 Gästföreläsning (Advenica, fortsättningskurser) F19

Läs mer

Tentamen i IE1204/5 Digital Design Torsdag 29/

Tentamen i IE1204/5 Digital Design Torsdag 29/ Tentamen i IE1204/5 Digital Design Torsdag 29/10 2015 9.00-13.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist

Läs mer

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik EDA 321 Digitalteknik syntes 2011-2012 Laboration 2 - VHDL 1. Enkelt sekvensnät 2. Trafikräknare i VHDL 3. Syntes av VHDL-kod Namn

Läs mer

Tentamen i IE Digital Design Fredag 21/

Tentamen i IE Digital Design Fredag 21/ Tentamen i IE204-5 Digital Design Fredag 2/0 206 09.00-3.00 Allmän information (TCOMK, Ask for an english version of this exam if needed) Examinator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist

Läs mer

Repetition och sammanfattning av syntes och analys av sekvensnät

Repetition och sammanfattning av syntes och analys av sekvensnät Repetition och sammanfattning av syntes och analys av sekvensnät Sekvensnät = ihopkoppling av sekvenskretsar Består i praktiken av - minnesdel (sekvenskretsar) - kombinatorisk del. Sekvenskretsar = kretsar

Läs mer

Laboration D151. Kombinatoriska kretsar, HCMOS. Namn: Datum: Epostadr: Kurs:

Laboration D151. Kombinatoriska kretsar, HCMOS. Namn: Datum: Epostadr: Kurs: UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Christer Ardlin/Lars Wållberg/ Håkan Joëlson 2000-01-28 v 2.3 ELEKTRONIK Digitalteknik Laboration D151 Kombinatoriska kretsar, HCMOS Namn:

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2009-06-04 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

Programmerbar logik och VHDL. Föreläsning 1

Programmerbar logik och VHDL. Föreläsning 1 Programmerbar logik och VHDL Föreläsning 1 Programmerbar logik och VHDL Programmerbar logik VHDL intro Upplägg, litteratur, examination Programmerbara kretsar Mikroprocessor Fix hårdvara som kan utföra

Läs mer

Digital- och datorteknik, , Per Larsson-Edefors Sida 1

Digital- och datorteknik, , Per Larsson-Edefors Sida 1 Digitala it elektroniksystem t Professor Per Larsson-Edefors perla@chalmers.se Digital- och datorteknik, 101122, Per Larsson-Edefors Sida 1 Introduktion Konstruktionsalternativ Kretskort med diskreta standardkomponenter.

Läs mer

Tentamen IE Digital Design Fredag 15/

Tentamen IE Digital Design Fredag 15/ Tentamen IE204-5 Digital Design Fredag 5/ 206 4.00-8.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist

Läs mer

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktionen beskriver grunderna för att använda programvaran Xilinx ISE WebPack 6.2.03 tillsammans med en CPLD (Complex Programmable Logic

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2011-08-26 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna hjälpmedel

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2009-08-28 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

IE1204 Digital Design

IE1204 Digital Design IE204 Digital Design F F3 F2 F4 Ö Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK LAB Kombinatoriska kretsar F7 F8 Ö4 F9 Ö5 Multiplexor KK2 LAB2 Låskretsar, vippor, FSM F0 F

Läs mer

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2 2016 LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y Konstruktion av sekvenskretsar med CPLD Version: 2.2 2014 (OVA, MK) 2015 (OVA, MK) 2016 (OVA, MK) Olov Andersson 1(11) 1. Inledning Syftet

Läs mer

Digitala projekt Elektro- och informationsteknik

Digitala projekt Elektro- och informationsteknik Digitala projekt Elektro- och informationsteknik Digitala projekt (I) VT1 huvudsakligen teori och VT2 konstruktionsarbete i projektlabb 10 hp motsvarar ca 7 veckor heltid! Godkännande; U, G Gruppstorlek;

Läs mer

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp Institutionen för elektro- och informationsteknik Campus Helsingborg, LTH 2016-12-22 8.00-13.00 Uppgifterna i tentamen ger totalt 60

Läs mer

Omtentamen med lösningar i IE1204/5 Digital Design Fredag 10/

Omtentamen med lösningar i IE1204/5 Digital Design Fredag 10/ Omtentamen med lösningar i IE24/5 Digital Design Fredag /4 25 8.-2. Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandvist, tel 8-794487 / Fredrik Jonsson Tentamensuppgifterna behöver

Läs mer

Exempel på tentamensfrågor Digitalteknik

Exempel på tentamensfrågor Digitalteknik Exempel på tentamensfrågor Digitalteknik Till dessa frågor (som kommer från lite olika tidgare tentor) gällde förutsättningen: Hjälpmedel: Kurslitteratur, föreläsningsantecknigar lab. med mätresultat,

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2010-08-27 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

Klassdeklaration. Metoddeklaration. Parameteröverföring

Klassdeklaration. Metoddeklaration. Parameteröverföring Syntax: Class Declaration Modifier Class Body Basic Class Member Klassdeklaration class Class Member Field Declaration Constructor Declaration Method Declaration Identifier Class Associations Motsvarar

Läs mer

Tentamen i Digitalteknik, EITF65

Tentamen i Digitalteknik, EITF65 Elektro- och informationsteknik Tentamen i Digitalteknik, EITF65 3 januari 2018, kl. 14-19 Skriv anonymkod och identifierare, eller personnummer, på alla papper. Börja en ny uppgift på ett nytt papper.

Läs mer

Exempel på ett litet Ada-program

Exempel på ett litet Ada-program Exempel på ett litet Ada-program -- En kommentar som beskriver något. with Ada.Text_IO; procedure Mini is -- Deklarationer. K : constant Integer := 5; X, Y : Integer; -- Körbar kod. Ada.Text_IO.Put( Utskrift

Läs mer

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik Mattias Krysander Institutionen för systemteknik

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik Mattias Krysander Institutionen för systemteknik Konstruktionsmetodik för sekvenskretsar Föreläsning 7 Digitalteknik Mattias Krysander Institutionen för systemteknik 2 Dagens föreläsning Hantering av insignaler Initiering av starttillstånd Inför lab

Läs mer

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning... UMEÅ UNIVERSITET Tillämpad fysik och elektronik 2014 John Berge et al. DIGITAL ELEKTRONIK Laboration DE3 VHDL 1 Namn... Personnummer... Epost-adress... Datum för inlämning... Introduktion Syftet med denna

Läs mer

Tentamen med lösningar i IE1204/5 Digital Design Måndag 27/

Tentamen med lösningar i IE1204/5 Digital Design Måndag 27/ Tentamen med lösningar i IE04/5 Digital Design Måndag 7/0 04 9.00-3.00 Allmän information Examinator: Ingo Sander. Ansvarig lärare: Elena Dubrova /William Sandvist, tel 08-7904487 Tentamensuppgifterna

Läs mer

LABORATIONSINSTRUKTION LABORATION. Räknare och skiftregister med sekvensiell VHDL. LAB NR Ver KURS. Digitalteknik INNEHÅLL. 1.

LABORATIONSINSTRUKTION LABORATION. Räknare och skiftregister med sekvensiell VHDL. LAB NR Ver KURS. Digitalteknik INNEHÅLL. 1. Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Räknare och skiftregister med sekvensiell VHDL KURS Digitalteknik LAB NR Ver09 INNEHÅLL. Strukturell VHDL. Sekvensiell VHDL 3. Strukturell

Läs mer

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare IE1205 Digital Design F1 F3 F2 F4 Ö1 Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombinatoriska

Läs mer

DIGITALTEKNIK. Laboration D164. Logiska funktioner med mikroprocessor Kombinatoriska funktioner med PIC16F84 Sekvensfunktioner med PIC16F84

DIGITALTEKNIK. Laboration D164. Logiska funktioner med mikroprocessor Kombinatoriska funktioner med PIC16F84 Sekvensfunktioner med PIC16F84 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Björne Lindberg Håkan Joëlson 2007-11-22 v 2.3 DIGITALTEKNIK Laboration D164 Logiska funktioner med mikroprocessor Kombinatoriska funktioner

Läs mer

TSEA22 Digitalteknik 2019!

TSEA22 Digitalteknik 2019! 1(39) 2019 Mattias Krysander Ingemar Ragnemalm 1(39) Föreläsning 5. Sekv1. enna föreläsning: Vippor Sekvensnät Moore och Mealy 2(39)2(39) Förra föreläsningen: Labb 1. Adderare. Carryaccelerator Och ännu

Läs mer

IE1204/5 Digital Design typtenta

IE1204/5 Digital Design typtenta IE1204/5 Digital Design typtenta Del A1 tio korta Analys-uppgifter 1p totalt 10p Rättas bara Rätt/Fel! Observera minst 6p på A1 om vi ska rätta vidare! Del A2 två Metodikuppgifter om totalt 10p. Rättas

Läs mer

IE1204/5 Digital Design typtenta

IE1204/5 Digital Design typtenta IE1204/5 Digital Design typtenta Del A1 tio korta Analys-uppgifter 1p totalt 10p Rättas bara Rätt/Fel! Observera minst 6p på A1 om vi ska rätta vidare! Del A2 två Metodikuppgifter om totalt 10p. Rättas

Läs mer

Digitalteknik syntes Arne Linde 2012

Digitalteknik syntes Arne Linde 2012 Digitalteknik, fortsättningskurs Föreläsning 3 Kombinatoriska nät 202 VHDL repetition + Strukturell VHDL Lite repetition + Karnaughdiagram(4-6var), flera utgångar + Quine-McCluskey + intro tid 2 Entity

Läs mer

VHDL3. Angående buffer

VHDL3. Angående buffer VHDL3 Repetition buffer, record, loop kombinaoriska processer Varning latchar, hasard uprogcpu VHDL-kod för mikromaskin med hämtfas Minnen i FGPA Distributed RAM (LUT) Block-RAM 3-portars registerfil pipecpu

Läs mer

Tentamen med lösningar IE Digital Design Måndag 23/

Tentamen med lösningar IE Digital Design Måndag 23/ Tentamen med lösningar IE04-5 Digital Design Måndag 3/0 07 4.00-8.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: Kista, William

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2010-06-01 Skrivtid 9.00-14.00 (5 timmar) Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376

Läs mer

Omtentamen med lösningar IE Digital Design Måndag 14/

Omtentamen med lösningar IE Digital Design Måndag 14/ Omtentamen med lösningar IE204-5 Digital Design Måndag 4/3 26 4.-8. Allmän information ( TCOMK, Ask for an english version of this exam if needed Examinator: Ingo Sander. Ansvarig lärare: Kista, William

Läs mer