Utvecklingsprogram för att beskriva logiska funktioner med VHDL och realisera dem med PLD

Storlek: px
Starta visningen från sidan:

Download "Utvecklingsprogram för att beskriva logiska funktioner med VHDL och realisera dem med PLD"

Transkript

1 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg/Håkan Joëlson v 1.0 Elektronik DIGITALTEKNIK Vägledning till Warp Utvecklingsprogram för att beskriva logiska funktioner med VHDL och realisera dem med PLD Innehåll Inledning Programmeringsspråk VHDL Utvecklingsprogramvaran Warp Galaxy... editor och syntetisator Active-HDL Sim... simulator Programmering av PLD-kapsel Uppkoppling Några filtyper som genereras av Warp Bilaga 1...Exempel på VHDL-kod Kombinatoriska nät Sekvensnät Bilaga 2... Utdrag ur manual till Active-HDL Sim Nyckelord (för sökning i databas) VHDL, kombinatoriska nät, sekvensnät, Jedec, PLD, PAL, GAL Warp_Inl.doc

2 Inledning Ända sedan den digitala teknikens begynnelse har logiska funktioner realiserats elektroniskt genom att koppla ihop enskilda grindar (NAND, AND, OR, NOR, INV, osv). Dessa logiska grundfunktioner tillverkas på en liten halvledarplatta, chip, som sedan kapslas i olika utföranden. Sådana kapslar kallas IC-kretsar (Integrated Circuit) och har kommit att tillverkas med en mängd olika logiska funktioner och elektriska egenskaper. (Även analoga IC-kretsar finn i en stor mångfald.) Under 1980-talet utvecklades en ny typ av IC-kretsar, där användaren själv kunde programmera in den logiska funktion man behövde. Den typen av kretsar kallas PLD (Programmable Logic Device). Programmeringsspråk För att beskriva logiska funktioner i IC-kretsar har flera olika språkverktyg utvecklats och använts. Under 1990-talet har VHDL (Very high speed integrated circuit Hardware Description Language) blivit en av de mest använda beskrivningsspråken. VHDL utvecklades från början för att beskriva och simulera digitala kretsar men används numera även för att realisera (förverkliga) de logiska funktionerna elektriskt. VHDL innehåller många instruktioner och språkkonstruktioner. En digital konstruktion kan därför beskrivas på flera olika sätt och ändå ge samma logiska funktion. Ett annat vanligt språk är Verilog. Verilog tas inte upp i kursen Digitalteknik I. Kursen Digitalteknik I vill ge de viktigaste grundkunskaperna för att kunna realisera enkla logiska nät beskrivna med VHDL, såväl kombinatoriska nät som sekvensnät. Boolesk algebra kan användas för att beskriva de kombinatoriska näten. Sekvensnät beskrivs i VHDL med en så kallad "three process state machine". VHDL I VHDL är ENTITY och ARCHITECTURE två viktiga begrepp. ENTITY betyder enhet, "helhet", och är den del i VHDL-koden som används för att beskriva en konstruktions in- och utgångar. ARCHITECTURE betyder utformning, och är den kod som beskriver enhetens [interna] logiska egenskaper, det vill säga hur ingångarna påverkar utgångrna. För kombinatoriska nät används det som i VHDL kallas CONCURRENT STATEMENTS. CONCURRENT STATEMENTS betyder "samtidiga uppgifter". I de flesta andra språkkonstruktioner utförs uppgifterna sekventiellt, det vill säga i en viss turordning. Allteftersom koden körs, uppdateras olika signaler. VHDL är ett parallellt språk där ordningen på instruktionerna inte spelar någon roll. Utsignalerna uppdateras inte förrän alla insignaler är stabila. Alla utsignalerna uppdateras därefter samtidigt. VHDL har en bestämd syntax, d.v.s. det finns fasta regler för hur man skriver VHDL-kod. Det finns en bestämd ordning för hur man ska skriva instruktionerna, så att programvaran kan "förstå" den uppgift/funktion man vill ha utförd. Denna syntax är något man måste lära sig. För mer information om VHDL, se häftet "Grunderna i VHDL"

3 Utvecklingsprogramvaran Warp Warp är ett utvecklingsprogram som kan använda VHDL för att beskriva digitala konstruktioners funktion. (Warp hanterar faktiskt även Verilog.) Programvaran består av två huvudmoduler: Enl. Warps egen dokumentation: Galaxy is the Graphical User Interface (GUI) for the synthesis and fitting engine within Warp. Warp accepts either VHDL or Verilog source files as input. The primary output of a Warp run is a.jed file. The.jed file can be used as input to a PLD programmer. Galaxy för editering, syntaxkontroll och kompilering samt för generering av jedec-filer (ett filformat som används för programmering av PLD-kapslar). Galaxy har ett grafiskt användargränsnitt. Active-HDL Sim för att simulera och kontrollera konstruktionens funktion. Om Du inte använt programvaran tidigare, följ denna instruktion. Galaxy 1. Starta Galaxy, tex genom att dubbelklicka på programikonen. Definiera projekt 2. Man jobbar alltid med ett projekt. Ett projekt innehåller en eller flera källkodsfiler, plus en mängd andra filer. Först ska alltså ett projekt skapas eller öppnas. 2.1 Om det är första gången du använder Galaxy ska allra först en ny mapp skapas. Gå ut till Windows och skapa en mapp, gärna under Warp-katalogen (C:\Warp\...). Denna placering ger minst strul. Ge mappen ett namn som är personligt för just Dig. Om Galaxy har varit igång tidigare, öppnas ett fönster med det senaste projekt som bearbetats. Om du ska arbeta vidare med det projektet, kan du gå till punkt Välj File/New på menyraden. Ett nytt fönster visas. Välj Project [Target Device]. OK (Alternativet Text File kommer att förklaras senare.) 2.3 Ytterligare en dialogruta visas. Där ska först ett Project name anges. Välj t.ex. lab152_1. Under Project path ska mappadressen till mappen du just skapade (tex C:\warp\ny_mapp) anges. Använd gärna Browse (betyder ungefär "gå runt och titta") för att leta rätt på mappen och placera projektet där. Klicka på Slutför och svara Ja för att spara. Förlängningen.pfg läggs till projektfilens namn. I vänstra rutan, projektfönstret, står det nu Source Files - Project lab152_1 (eller vilket namn du nu valde). Editera källkod 3. I ditt projekt ska nu VHDL-kod skrivas in och editeras. 3.1 Välj File/New/Text File, OK. Markören hamnar i en texteditor. En bra egenskap hos denna editor är att den känner igen så kallade reserverade ord i VHDL och ger dessa ord en annan färg (Syntax Highlight). För att denna funktion ska "komma igång" måste filen först sparas en gång med filnamnstillägget.vhd (se 3.3 nedan). Texteditorn har de flesta egenskaper en standard Windowsanpassad editor brukar ha. 3.2 Skriv in din VHDL-kod i editorn. (Se tex kodexemplena i Bilaga 1.) 3.3 Spara filen (File/Save) tex med namnet exor.vhd. Förlängningen.vhd måste skrivas dit. Det läggs inte till automatiskt om man bara skriver filnamnet

4 3.4 För att koppla källkodsfilen till projektet, gå till Project/Add files och markera den fil du nyss sparade. Klicka på Add,sedan OK I projektfönstret adderas en ikon och ett filnamn. Bara de filer som finns i projektfönstret kan kompileras. Kompilering 4. Markera en fil i projektfönstret. Ikonen blir röd. 4.1 Innan kompilering kan ske, måste en kapsel (device) väljas, eftersom du valt Project [Target device]. Välj Project/Select Device. I dialogrutan som kommer upp, kan du välja mellan stora (CPLD (Complex PLDs)) och små kretsar (SPLD (Small PLDs)). Genom att klicka på plustecknet till vänster om SPLD, får du fram en lista på PLD-typer. Välj C22V10 som Device och PLAC22V10D.25PC som Package. 4.2 Nu kan kompilering av filen göras. Välj Compile/Selected File(s). Om du glömde att välja kapseltyp (device) tidigare, anmodas du först att göra det. (Se 4.1.) I meddelandefönstret (Output Window), flik Compiler, visas kompileringförloppet och eventuella felmeddelanden. Om koden är felfri fås meddelandet Warp done. Compilation successfull. I annat fall (vanligtvis) finns fel i koden och man får meddelandet compilation failed. Programmet ger ett felmeddelande och föreslår en åtgärd i fönstret Errors & Warnings. Tyvärr är felmeddelandena ibland svårtolkade och den föreslagna åtgärden inte alltid korrekt, men felet finns ofta i närheten av den rad och kolum som anges. Rätta felen, spara och kompilera igen tills Compilation successfull meddelas. Active-HDL sim Simulering 5. Om simulering ska göras, starta simulatorn från Windows. 5.1 Två fönster Waveform och Console öppnas. Med File/Open VHDL väljs nu den textfil/vhdlkod som ska simuleras. Koden kompileras än en gång, nu för att utfilerna ska passa simulatorn. 5.2 Välj Waveform/Add Signals. Ett fönster med de signaler som kan simuleras visas. Använd Ctrl och en tryckning med vänster musknapp i dialogrutans högra halva på de signaler som ska simuleras. Avsluta med Add. I den ordning som signalerna valts kommer de att visas i Waveformfönstret. Ordningsföjden kan även ändras i efterhand. (Klicka och dra.) 5.3 Markera en signal (t.ex. a) och gå till Waveform /Stimulators. Markera signalen a i den nya dialogruta som kommer upp, och klicka på listan Stimulator type. Välj Hot key. Gå till rutan Press new hotkey och tryck på den tangent du vill använda för att ge stimulus på a. Klicka på Apply. Gör på samma sätt med övriga signaler. Välj olika bokstäver som hotkeys. Stäng dialogrutan. 5.4 Välj Simulation/Initialize simulation. Nu startas simulatorn och signalerna ges startvärden. Med tangenterna A och B kan insignalvärdena ändras under simuleringen. Ändra tiden i rutan på övre funktionsremsan (t.hö. under Waveform) till 40 ns och klicka på knappen till vänster om tidsrutan (Run for). Ändra värden på insignalerna med hotkeytangenterna så att alla insignalskombinationer testas. Vid större konstruktioner, där det blir otympligt att genomföra alla insignaler, välj ett insignalmönster som ger möjlighet att kontrollera om utsignalerna blir såsom konstruktionen avsåg. Klicka på ikonen Whole Page (ikonen med med en turkos kvadrat i ett förstoringsglas). Simuleringen fyller hela det tillgängliga utrymmet i fönstret. 5.5 Markera alla signaler och tryck höger musknapp. Välj Properties. Markera: Bold/Height=40/Literal. Klicka OK. Se vad som händer när du väljer olika egenskaper. 5.6 För Utskrift, välj File/Print,OK. Välj utskrift på liggande A

5 Programmering av PLD-kapsel 5. För att programmera en kapsel behövs en fil på s.k. Jedec-format. Jedec är namnet på ett filformat som kan användas just för sådan programmering. 5.1 Gå tillbaka till Galaxy. Gå in under Project. Välj Set Top. Ikonen ändras. Välj åter Compile/ Selected File(s). Är allt väl, slutar meddelandefönstret, flik Compile, med Done, och en jedec-fil har skapats: -./exor.jed Om du vill, kan du m.h.a. tex Windows Utforskaren själv kontrollera detta. 6.1 Kopiera din *.jed-fil till en diskett. 6.2 Ta med den till programmeraren. Följ anvisningarna som finns vid programmeraren. Uppkoppling 7. Koppla upp kretsen. Bennummer syns dels i simuleringen och dels i filen filnamn.rpt. Några filtyper som genereras av Warp:.vhd Källkod.pfg och.hie Projektfiler.rpt Rapportfiler, som bl.a. beskriver interna logiska funktioner benkonfiguration, mm..jed Datafil för kretsprogrammering

6 Bilaga 1. Exempel på VHDL-kod Kombinatoriskt nät Två exempel på 2-ingångars XOR med BOOLEAN EQUATIONS: ENTITY exor IS PORT( a,b :IN bit; ut :OUT bit); ARCHITECTURE beh_exor OF exor IS ut <= ((NOT b) AND a) or (b AND (NOT a)); ENTITY exor IS PORT( a,b :IN bit; ut :OUT bit); ARCHITECTURE beh_exor OF exor IS ut <= a XOR b; Sekvensnät Exempel på Moore-maskin. ENTITY cnt_moore IS PORT( i :IN bit; clk :IN bit; ut :OUT bit_vector(1 DOWNTO 0) ); ARCHITECTURE beh_cnt_moore 0F cnt_moore IS TYPE tillst IS (s0,s1,s2,s3); SIGNAL nuv_tillst, nst_tillst :tillst; P0: PROCESS(nuv_tillst,i) CASE nuv_tillst IS WHEN s0 => IF i='0' THEN nst_tillst <= s1; ELSE nst_tillst <= s3; WHEN s1 => IF i='0' THEN nst_tillst <= s2; ELSE nst_tillst <= s0; WHEN s2 => IF i='0' THEN nst_tillst <= s3; ELSE nst_tillst <= s1; - 6 -

7 END CASE; END PROCESS; WHEN s3 => IF i='0' THEN nst_tillst <= s0; ELSE nst_tillst <= s2; P1: PROCESS(clk) IF (clk'event AND clk='1 ) THEN nuv_tillst<=nst_tillst; END PROCESS; P2: PROCESS(nuv_tillst) CASE nuv_tillst IS WHEN s0 => ut <= "00"; WHEN s1 => ut <= "01"; WHEN s2 => ut <= "10"; WHEN s3 => ut <= "11"; END CASE; END PROCESS; - 7 -

8 Bilaga 2. Utdrag ur inbyggda Hjälp-dokumentationen till Active-HDL Sim Stimulators A stimulator is a user-defined virtual source of stimulus attached to a signal net. The definition of a stimulator specifies a waveform which is forced on the signal and its strength, that is, the way it contributes to the effective value of the signal net. There are six types of stimulators. They are briefly described below. Clock Stimulators A clock stimulator produces a rectangular wave defined by the following parameters: frequency /period initial offset time duty cycle initial value Typically, clock stimulators are used to drive clock signals. Custom Stimulators A custom stimulator produces a graphically defined waveform. You can create the waveform manually using editing features of Waveform Editor. More typically, custom stimulators use waveforms obtained in earlier simulation runs. For example, let's assume you were using a hotkey stimulator to create some waveform during a simulation run. To re-use so created waveform during subsequent simulation runs, after re-initialization you should change the type of the stimulator assigned to the signals from Hotkey to Custom. Formula Stimulators A formula stimulator produces a waveform defined by a textual formula based on a simple syntax. The waveform is defined as a sequence of value-time pairs. The time component of a pair determines the moment the stimulated signal assumes the value defined by the value component of the pair. In addition, a formula can include the repeat switch (-r) with cause that the sequence is repeated with the specified period. The syntax of formulas is as follows: <value> <time> [, <value> <time>... ] [ -r <period> ] Predefined Stimulators A predefined stimulator is either a clock or formula stimulator to which a unique name has been assigned. Since the stimulator is referenced by its name, you can easily assigned it to several signals without repeating each time its definition. Value Stimulators A value stimulator drives the signal with a constant value. If you advance simulation step by step, you can change the value between steps by redefining the stimulator. Hotkey Stimulators A hotkey stimulator is similar in concept to a value stimulator but it provides a convenient mechanism for changing the forced value. To change the stimulator value you have to simply press a specific key. Pressing the key usually toggles between two signal values, for example, '0' and '1'. However, you can define a longer list of values that will be cyclically switched by the hotkey. Stimulator Strength The strength determines the way the stimulator contributes to the effective value of the signal net. There are three strength types: Deposit The value(s) applied by the stimulator overrides the current signal value produced in the simulation model. The effect endures until there is a subsequent driver transaction (in the simulation model) or until the stimulator is removed or disabled. Drive The value(s) applied by the stimulator contributes to the current signal value as if an additional driver (corresponding to the stimulator) were attached to the signal net. The effect endures until there is a subsequent driver transaction (in the simulation model) or until the stimulator is removed or disabled. This option can be used only for resolved signals. Override The value(s) applied by the stimulator overrides the current signal value produced in the simulation model. The effect endures until the stimulator is removed or disabled. Applying Stimulators Active-HDL provides graphical interface for defining and applying stimulators. All stimulators are managed in the Stimulators dialog, invoked by the Waveform /Stimulators menu command. See related procedures for details. In addition, formula stimulators can be applied using the force and noforce macro commands. Copyright ALDEC, Inc

9 Procedures Operations on stimulators Define a hotkey stimulator and assign it to a signal 1. Select the signal in the left panel of Waveform Editor window. To select more than one signal, hold down the Ctrl key while selecting. To select all signals, choose Select All from the Edit menu. 2. Click with the right mouse button and choose Stimulators from the shortcut menu. The Stimulators dialog box will open. Go to the Signals tab. The previously selected signals will be displayed in the Signals box. 3. In the Signals box, select the signal to which you want to assign the stimulator. You can select several signals if you want to assign the same stimulator to them. 4. Select Hotkey from the Stimulator type box. 5. Click within the Press new hotkey box. Next, press the desired key. Its name should appear in the box. 6. Select the stimulator strength from the Strength box. 7. To effectively assign the defined stimulator, click Apply. A check mark will appear in the check box located to the left of the signal name. The type of the assigned stimulator will appear to the right of the signal name in the Type column. 8. If needed, repeat steps 3 7 for other signals displayed in the Signals box. 9. Click Close to close the dialog box. NOTE: While the Stimulators dialog box is open, you can add other signals from the Waveform Editor window by clicking their names in this window. Copyright ALDEC, Inc. Define a formula stimulator and assign it to a signal 1. Select the signal in the left panel of Waveform Editor window. To select more than one signal, hold down the Ctrl key while selecting. To select all signals, choose Select All from the Edit menu. 2. Click with the right mouse button and choose Stimulators from the shortcut menu. The Stimulators dialog box will open. Go to the Signals tab. The previously selected signals will be displayed in the Signals box. 3. In the Signals box, select the signal to which you want to assign the stimulator. You can select several signals if you want to assign the same stimulator to them. 4. Select Formula from the Stimulator type box. 5. Enter the desired formula in the Enter formula box. 6. Select the stimulator strength from the Strength box. 7. To effectively assign the defined stimulator, click Apply. A check mark will appear in the check box located to the left of the signal name. The type of the assigned stimulator will appear to the right of the signal name in the Type column. 8. If needed, repeat steps 3 7 for other signals displayed in the Signals box. 9. Click Close to close the dialog box. NOTE: While the Stimulators dialog box is open, you can add other signals from the Waveform Editor window by clicking their names in this window. Copyright ALDEC, Inc

ELEKTRONIK LABORATION D0. Digitalteknik. Kombinatoriska nät, VHDL, PLD. Sekvensnät beskrivna med VHDL och realiserade med PLD

ELEKTRONIK LABORATION D0. Digitalteknik. Kombinatoriska nät, VHDL, PLD. Sekvensnät beskrivna med VHDL och realiserade med PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg/ Dan Weinehall 2005-09-14 ELEKTRONIK LABORATION D0 Digitalteknik Kombinatoriska nät, VHDL, PLD. Sekvensnät beskrivna med VHDL och realiserade

Läs mer

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson 2003-09-15 v 2.1 DIGITALTEKNIK Laboration D163 Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner

Läs mer

DIGITALTEKNIK. Laboration D172

DIGITALTEKNIK. Laboration D172 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson 2006-02-24 v 1.2 DIGITALTEKNIK Laboration D172 Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner

Läs mer

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs: UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg/Håkan Joëlson 2001-03-01 v 1.5 ELEKTRONIK Digitalteknik Laboration D159 Sekvensnät beskrivna med VHDL och realiserade med PLD

Läs mer

Introduktion till syntesverktyget Altera Max+PlusII

Introduktion till syntesverktyget Altera Max+PlusII Lunds Universitet LTH Ingenjörshögskolan Ida, IEA Helsingborg Laboration nr 5 i digitala system, ht-12 Introduktion till syntesverktyget Altera Max+PlusII Beskrivning i VHDL och realisering av några enkla

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2018 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D TSEA51 Digitalteknik Y TSEA52 Digitalteknik

Läs mer

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktionen beskriver grunderna för att använda programvaran Xilinx ISE WebPack 6.2.03 tillsammans med en CPLD (Complex Programmable Logic

Läs mer

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg/Dan Weinehall/ Håkan Joëlson 2010-05-06 v 1.7 ELEKTRONIK Digitalteknik Laboration D184 Sekvensnät beskrivna med VHDL och realiserade

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2017 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D Linköpings universitet SE-581 83

Läs mer

Support Manual HoistLocatel Electronic Locks

Support Manual HoistLocatel Electronic Locks Support Manual HoistLocatel Electronic Locks 1. S70, Create a Terminating Card for Cards Terminating Card 2. Select the card you want to block, look among Card No. Then click on the single arrow pointing

Läs mer

VHDL 1. Programmerbara kretsar

VHDL 1. Programmerbara kretsar VHDL 1 Programmerbara kretsar CPLD FPGA VHDL Kombinatorik with-select-when when-else Sekvensnät process case if-then-else Programmerbara kretsar PLD = programmable logic device CPLD = complex PLD, i princip

Läs mer

Beijer Electronics AB 2000, MA00336A, 2000-12

Beijer Electronics AB 2000, MA00336A, 2000-12 Demonstration driver English Svenska Beijer Electronics AB 2000, MA00336A, 2000-12 Beijer Electronics AB reserves the right to change information in this manual without prior notice. All examples in this

Läs mer

Installation av F13 Bråvalla

Installation av F13 Bråvalla Website: http://www.rbdesign.se Installation av F13 Bråvalla RBDESIGN FREEWARE - ESCK Norrköping-Bråvalla 1. Ladda ner och packa upp filerna i en mapp som du har skapat på ett lättöverskådligt ställe utanför

Läs mer

2.1 Installation of driver using Internet Installation of driver from disk... 3

2.1 Installation of driver using Internet Installation of driver from disk... 3 &RQWHQW,QQHKnOO 0DQXDOÃ(QJOLVKÃ'HPRGULYHU )RUHZRUG Ã,QWURGXFWLRQ Ã,QVWDOOÃDQGÃXSGDWHÃGULYHU 2.1 Installation of driver using Internet... 3 2.2 Installation of driver from disk... 3 Ã&RQQHFWLQJÃWKHÃWHUPLQDOÃWRÃWKHÃ3/&ÃV\VWHP

Läs mer

1. Unpack content of zip-file to temporary folder and double click Setup

1. Unpack content of zip-file to temporary folder and double click Setup Instruktioner Dokumentnummer/Document Number Titel/Title Sida/Page 13626-1 BM800 Data Interface - Installation Instructions 1/8 Utfärdare/Originator Godkänd av/approved by Gäller från/effective date Mats

Läs mer

Webbregistrering pa kurs och termin

Webbregistrering pa kurs och termin Webbregistrering pa kurs och termin 1. Du loggar in på www.kth.se via den personliga menyn Under fliken Kurser och under fliken Program finns på höger sida en länk till Studieöversiktssidan. På den sidan

Läs mer

Flödesschema som visar hur man använder Quartus II.

Flödesschema som visar hur man använder Quartus II. Flödesschema som visar hur man använder Quartus II. För att det skall bli lite enklare använder jag följande exempel: ut1

Läs mer

Webbreg öppen: 26/ /

Webbreg öppen: 26/ / Webbregistrering pa kurs, period 2 HT 2015. Webbreg öppen: 26/10 2015 5/11 2015 1. Du loggar in på www.kth.se via den personliga menyn Under fliken Kurser och under fliken Program finns på höger sida en

Läs mer

NetBeans 5.5. Avsikt. Projektfönster

NetBeans 5.5. Avsikt. Projektfönster NetBeans 5.5 Avsikt Att bekanta dig med NetBeans programmeringsmiljö, dvs att med hjälp av NetBeans 1. skapa ett nytt projekt 2. skriva in källkod (sparas som.java-fil) 3. kompilera (översätta) koden till

Läs mer

LOG/iC2. Introduction

LOG/iC2. Introduction LOG/iC2 Introduction L00000 11110111111111111111111111111111111111111111* L04884 11111111111111111111111111111111111111111111* L04928 11111111011111111111111111111111111111101111* L04972 11111111101110111111111111111111111111011111*

Läs mer

Styrteknik: Binära tal, talsystem och koder D3:1

Styrteknik: Binära tal, talsystem och koder D3:1 Styrteknik: Binära tal, talsystem och koder D3:1 Digitala kursmoment D1 Boolesk algebra D2 Grundläggande logiska funktioner D3 Binära tal, talsystem och koder Styrteknik :Binära tal, talsystem och koder

Läs mer

VHDL testbänk. Mall-programmets funktion. Låset öppnas när tangenten 1 trycks ned och sedan släpps. William Sandqvist

VHDL testbänk. Mall-programmets funktion. Låset öppnas när tangenten 1 trycks ned och sedan släpps. William Sandqvist VHDL testbänk Mall-programmets funktion Låset öppnas när tangenten 1 trycks ned och sedan släpps. Keypad och Statecounter Bra val av datatyper gör koden självförklarande! K: in std_logic_vector(1 to 3);

Läs mer

VHDL och laborationer i digitalteknik

VHDL och laborationer i digitalteknik V:1.1 VHDL och laborationer i digitalteknik Vid laborationskursen i digitalteknik används VHDL till alla laborationerna utom den första. VHDL är ett stort språk och enbart en liten del av språket behövs

Läs mer

Michael Q. Jones & Matt B. Pedersen University of Nevada Las Vegas

Michael Q. Jones & Matt B. Pedersen University of Nevada Las Vegas Michael Q. Jones & Matt B. Pedersen University of Nevada Las Vegas The Distributed Application Debugger is a debugging tool for parallel programs Targets the MPI platform Runs remotley even on private

Läs mer

Digitalteknik, fortsättningskurs Föreläsning VHDL Very High Speed Integrated Circuit Hardware Description Language

Digitalteknik, fortsättningskurs Föreläsning VHDL Very High Speed Integrated Circuit Hardware Description Language 1 Digitalteknik, fortsättningskurs Föreläsning 2-2012 VHDL Very High Speed Integrated Circuit Hardware Description Language VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Läs mer

NetBeans 7. Avsikt. Projektfönster

NetBeans 7. Avsikt. Projektfönster NetBeans 7 Avsikt Att bekanta dig med NetBeans programmeringsmiljö, dvs att med hjälp av NetBeans 1. skapa ett nytt projekt 2. skriva in källkod (sparas som.java-fil) 3. kompilera (översätta) koden till

Läs mer

PARALLELL OCH SEKVENTIELL DATABEHANDLING. Innehåll

PARALLELL OCH SEKVENTIELL DATABEHANDLING. Innehåll PARALLELL OCH SEKVENTIELL DATABEHANDLING Innehåll Parallellism i VHDL Delta delays och Simuleringstid VHDLs simuleringscykel Aktivering av Processer Parallella och sekventiella uttryck 1 Controller PARALLELLISM

Läs mer

Det finns en handledning till kortet på hemsidan. AVR STK500.

Det finns en handledning till kortet på hemsidan. AVR STK500. Laboration 1 (ver 1) Uppgifter: AVR Studio 4.lnk Bli bekant med utvecklingskortet, och AVR studio. Skriva in program för binärräknare. Simulera detta samt ladda ner det till kortet. Förse ovanstående program

Läs mer

Quick Start Guide Snabbguide

Quick Start Guide Snabbguide Quick Start Guide Snabbguide C Dictionary Quick Start Thank you for choosing C Dictionary and C-Pen as your translation solution. C Dictionary with its C-Pen connection will make translation easy and enable

Läs mer

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM Innehåll Designflöde Översikt av integrerade kretsar Motivation Hardware Description Language CAD-verktyg 1 DESIGNFLÖDE FÖR DIGITALA

Läs mer

Simulera med ModelSim

Simulera med ModelSim Simulera med ModelSim ModelSim - simuleringsprogramvara ModelSim kan användas till att simulera VHDL-kod, för att avgöra om den är "rätt" tänkt. Alteras version av ModelSim är också kopplad till en "databas"

Läs mer

Så här skriver du ditt första program i C++

Så här skriver du ditt första program i C++ Så här skriver du ditt första program i C++ Introduktion till att skapa Solution, Project och källkodsfil i Visual Studio 2013 Författare Anne Norling Kurs: Strukturerad programmering med C++ Kurskod:1DV433

Läs mer

Preschool Kindergarten

Preschool Kindergarten Preschool Kindergarten Objectives CCSS Reading: Foundational Skills RF.K.1.D: Recognize and name all upper- and lowercase letters of the alphabet. RF.K.3.A: Demonstrate basic knowledge of one-toone letter-sound

Läs mer

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik EDA 321 Digitalteknik syntes 2011-2012 Laboration 2 - VHDL 1. Enkelt sekvensnät 2. Trafikräknare i VHDL 3. Syntes av VHDL-kod Namn

Läs mer

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I. Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.. Uttryckt i decimal form: A=28+32+8 + 2 =70 B=59 C=7 A+B+C=246 2. Jag låter A' betyda "icke A" A'B'C'D'+ABC'D'+A'BCD'+AB'CD'=D'(A'(B'C'+BC)+A(BC'+B'C))=

Läs mer

Komma igång med Adobe Presenter ver.7

Komma igång med Adobe Presenter ver.7 Komma igång med Adobe Presenter ver.7 (Adobes guide med tillägg av Tove Forslund, Lärcentret 7.2.2009) Adobe Presenter Presenter gör det möjligt att förbättra dina presentationer genom att Banda in eller

Läs mer

Boiler with heatpump / Värmepumpsberedare

Boiler with heatpump / Värmepumpsberedare Boiler with heatpump / Värmepumpsberedare QUICK START GUIDE / SNABBSTART GUIDE More information and instruction videos on our homepage www.indol.se Mer information och instruktionsvideos på vår hemsida

Läs mer

VHDL Basics. Component model Code model Entity Architecture Identifiers and objects Operations for relations. Bengt Oelmann -- copyright

VHDL Basics. Component model Code model Entity Architecture Identifiers and objects Operations for relations. Bengt Oelmann -- copyright BO 1 VHDL Basics Outline Component model Code model Entity Architecture Identifiers and objects Operations for relations Bengt Oelmann -- copyright 2002 1 Component model Model for describing components

Läs mer

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2 2016 LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y Konstruktion av sekvenskretsar med CPLD Version: 2.2 2014 (OVA, MK) 2015 (OVA, MK) 2016 (OVA, MK) Olov Andersson 1(11) 1. Inledning Syftet

Läs mer

Problem som kan uppkomma vid registrering av ansökan

Problem som kan uppkomma vid registrering av ansökan Problem som kan uppkomma vid registrering av ansökan Om du har problem med din ansökan och inte kommer vidare kan det bero på det som anges nedan - kolla gärna igenom detta i första hand. Problem vid registrering

Läs mer

Lösenordsportalen Hosted by UNIT4 For instructions in English, see further down in this document

Lösenordsportalen Hosted by UNIT4 For instructions in English, see further down in this document Lösenordsportalen Hosted by UNIT4 For instructions in English, see further down in this document Användarhandledning inloggning Logga in Gå till denna webbsida för att logga in: http://csportal.u4a.se/

Läs mer

Steg 16 Video Debut video capture Video pad editor Windows 7/8

Steg 16 Video Debut video capture Video pad editor Windows 7/8 Steg 16 Video Debut video capture Video pad editor Windows 7/8 Dec -14 Liljedalsdata Liljedalsdata Steg 16 W78 Sida 1 Inledning Förkunskaper Steg 1, 2, 3, 15. Syftet med denna kurs är att du ska lära dig

Läs mer

std_logic & std_logic_vector

std_logic & std_logic_vector VHDL VHDL - Very high speed integrated circuit Hardware Description Language VHDL är ett komplext språk, avsett för att beskriva digitala system på olika abstraktionsnivåer (beteende- och strukturmässigt).

Läs mer

Viktig information för transmittrar med option /A1 Gold-Plated Diaphragm

Viktig information för transmittrar med option /A1 Gold-Plated Diaphragm Viktig information för transmittrar med option /A1 Gold-Plated Diaphragm Guldplätering kan aldrig helt stoppa genomträngningen av vätgas, men den får processen att gå långsammare. En tjock guldplätering

Läs mer

Konstruktionsmetodik för sekvenskretsar

Konstruktionsmetodik för sekvenskretsar Konstruktionsmetodik för sekvenskretsar Digitalteknik Föreläsning 7 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Inför laboration 2 Synkronisering av insignaler Asynkrona ingångar

Läs mer

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik Konstruktionsmetodik för sekvenskretsar Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik 2 Dagens föreläsning Initiering av starttillstånd Programmerbar logik Syntesflödet

Läs mer

Eclipse. Avsikt. Nu ska ett fönster liknande figuren till höger synas.

Eclipse. Avsikt. Nu ska ett fönster liknande figuren till höger synas. Eclipse Avsikt Att bekanta dig med Eclipse programmeringsmiljö, dvs att med hjälp av Eclipse 1. skapa ett nytt projekt 2. skriva in källkod (sparas som.java-fil) 3. kompilera (översätta) koden till byte-kod

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Institutionen för Elektroteknik LABORATION LABORATIONSINSTRUKTION LOG/iC, PLD, kombinatorik, sekvensnät KURS Digitalteknik LAB NR 6 INNEHÅLL. Inledning 2. Prioritetskodare 3. Elektronisk

Läs mer

BÄNKVÅG / BENCH SCALE Modell : SW-III / Model : SW-III ANVÄNDARMANUAL / USER MANUAL SW-III WWW.LIDEN-WEIGHING.SE 2014-03-26 OBS! Under vågen sitter en justerbar skruv (se bild). Standardinställning är

Läs mer

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse GRUNDER I VHDL Innehåll Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse KOMPONENTMODELL Modell för att beskriva komponenter Externt interface Intern funktion

Läs mer

Programmerbar logik och VHDL. Föreläsning 1

Programmerbar logik och VHDL. Föreläsning 1 Programmerbar logik och VHDL Föreläsning 1 Programmerbar logik och VHDL Programmerbar logik VHDL intro Upplägg, litteratur, examination Programmerbara kretsar Mikroprocessor Fix hårdvara som kan utföra

Läs mer

KOMBINATORISKA FUNKTIONER...1

KOMBINATORISKA FUNKTIONER...1 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg Håkan Joëlson 2002-10-10 v 1.4 Elektronik DIGITALTEKNIK Grunderna i VHDL Innehåll Inledning...1 KOMBINATORISKA FUNKTIONER...1

Läs mer

Föreläsning 4 IS1300 Inbyggda system

Föreläsning 4 IS1300 Inbyggda system Föreläsning 4 IS1300 Inbyggda system Programutveckling Exempel PingPong Idé Tillståndsdiagram State machine Skapa projekt Testning av programvara Peripheral Library till STM32 Programmeringsuppgiften RS232

Läs mer

Quick Start. English Svenska PRIMACY. Printing settings and winsign

Quick Start. English Svenska PRIMACY. Printing settings and winsign Quick Start English Svenska PRIMACY Printing settings and winsign 2016-05-26 Before Printing Quick Start Primacy Install the printer according to the supplier s instructions, please see the PRIMACY user

Läs mer

INTRODUKTION TILL VIVADO

INTRODUKTION TILL VIVADO INTRODUKTION TILL VIVADO LABORATION1 Under laborationerna kommer vi att konstruera/beskriva ett antal kretsar med hjälp av VHDL (Very high speed integrated circuit Hardware Description Language). För att

Läs mer

Programutveckling med Java Development Kit. (JDK 1.1.x) och Programmers File Editor (PFE 7.02)

Programutveckling med Java Development Kit. (JDK 1.1.x) och Programmers File Editor (PFE 7.02) UMEÅ UNIVERSITET Institutionen för datavetenskap Thomas Johansson Oktober 1998 Programutveckling med Java Development Kit (JDK 1.1.x) och Programmers File Editor (PFE 7.02) Umeå universitet 901 87 Umeå.

Läs mer

Programexempel 1 AND-funktionen

Programexempel 1 AND-funktionen Utdrag ur "Logiska funktioner med mikroprocessor" s.10 ff. Reviderat 121105 Håkan Joëlson... Programexempel 1 AND-funktionen Uppgift: Realisera en 2-ingångars AND-grind med PIC16F84A. I detta exempel ska

Läs mer

[HUR DU ANVÄNDER PAPP] Papp är det program som vi nyttjar för att lotta turneringar och se resultat.

[HUR DU ANVÄNDER PAPP] Papp är det program som vi nyttjar för att lotta turneringar och se resultat. PAPP Papp är det program som vi nyttjar för att lotta turneringar och se resultat. Förberedelser inför en turnering. Ladda ner papp för windows, spara zipfilen på lämpligt ställe på din dator och lägg

Läs mer

Quick Start Guide. To switch the TV on. cable to connect the two units together. To select the TV broadcasts. To HDMI connection on.

Quick Start Guide. To switch the TV on. cable to connect the two units together. To select the TV broadcasts. To HDMI connection on. Quick Start Guide 1 Insert the batteries into the remote control Lift the cover on the back of the remote upward gently. Install two AAA batteries. Make sure to match the + and - ends of the batteries

Läs mer

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Christer Ardlin/Lars Wållberg/ Dan Weinehall/Håkan Joëlson 2008-01-24 v 2.1 ELEKTRONIK Digitalteknik Laboration D181 Kombinatoriska kretsar,

Läs mer

Gran Canaria - Arbetsbeskrivning knapplänkar (Mediator 8)

Gran Canaria - Arbetsbeskrivning knapplänkar (Mediator 8) Gran Canaria - Arbetsbeskrivning knapplänkar (Mediator 8) I detta exempel kommer du att lära dig Att skapa en ny presentation från början Att skapa en enkel knapp Att använda händelseinställningar, events

Läs mer

BÄNKVÅG / BENCH SCALE ANVÄNDARMANUAL / USER MANUAL SW-III www.liden-weighing.com Svenska OBS! Under vågen sitter en justerbar skruv (se bild). Standardinställning är den för vägning. Om ni vill rengöra

Läs mer

Alternativet är iwindows registret som ni hittar under regedit och Windows XP 32 bit.

Alternativet är iwindows registret som ni hittar under regedit och Windows XP 32 bit. TNT ExpressShipper installation. Om ni redan har en ExpressShipper installation på företaget behöver ni först ta reda på vilken version som är installerad och sökvägen till databasen. Versionen ser ni

Läs mer

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Kursinformation Lektion 7 : Datorlektion i Modelsim+VHDL Lab 3 : Programmerbara kretsar och

Läs mer

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1.

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg 2005-01-01 ver 1.0 Grundläggande kunskaper om Strukturell VHDL och TESTBÄDD Innehållsförteckning. sid Strukturbeskrivning 2 Digitalkonstruktionen

Läs mer

Konstruktion av digitala system - VHDL

Konstruktion av digitala system - VHDL Konstruktion av digitala system - VHDL Digitalteknik - Föreläsning 10 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Programmerbara kretsar Kombinationskretsar i VHDL with-select-when,

Läs mer

How to format the different elements of a page in the CMS :

How to format the different elements of a page in the CMS : How to format the different elements of a page in the CMS : 1. Typing text When typing text we have 2 possible formats to start a new line: Enter - > is a simple line break. In a paragraph you simply want

Läs mer

6. Nu skall vi ställa in vad som skall hända när man klickar på knappen samt att markören skall ändra sig till en hand när markören är på knappen.

6. Nu skall vi ställa in vad som skall hända när man klickar på knappen samt att markören skall ändra sig till en hand när markören är på knappen. Fiskar Arbetsbeskrivning knappmeny (Mediator 8) I detta exempel kommer du att lära dig Att göra en mastersida med knappar Att använda en mastersida på andra sidor Att använd funktionen Alignment Arbetsgång

Läs mer

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Kursinformation VHDL-delen Lektion 7 : Datorlektion i VHDL+Xilinx [pdf] Lab 3 : Programmerbara

Läs mer

Quick Start. English Svenska. Moca

Quick Start. English Svenska. Moca Quick Start English Svenska Moca 2015-08-20 Before Printing Install the printer according to the supplier s instructions, please see the Moca user guide (chapter 3-1) at the installation CD. Choose Moca

Läs mer

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik Programmerbara kretsar och VHDL 2 Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik 2 Dagens föreläsning Programmerbara kretsar igen Mer om processer Egna typer Använda

Läs mer

Calculate check digits according to the modulus-11 method

Calculate check digits according to the modulus-11 method 2016-12-01 Beräkning av kontrollsiffra 11-modulen Calculate check digits according to the modulus-11 method Postadress: 105 19 Stockholm Besöksadress: Palmfeltsvägen 5 www.bankgirot.se Bankgironr: 160-9908

Läs mer

Schenker Privpak AB Telefon VAT Nr. SE Schenker ABs ansvarsbestämmelser, identiska med Box 905 Faxnr Säte: Borås

Schenker Privpak AB Telefon VAT Nr. SE Schenker ABs ansvarsbestämmelser, identiska med Box 905 Faxnr Säte: Borås Schenker Privpak AB Interface documentation for web service packageservices.asmx 2012-09-01 Version: 1.0.0 Doc. no.: I04304b Sida 2 av 7 Revision history Datum Version Sign. Kommentar 2012-09-01 1.0.0

Läs mer

Bänkvåg LCW-6S Manual/Förenklat handhavande User Manual LCW-6S www.liden-weighing.se Knappfunktioner: ON/OFF Sätter på och stänger av vågen. UNIT Skiftar vägningsenhet ZERO/TARE Nollställer vågen Tarerar

Läs mer

electiaprotect GSM SEQURITY SYSTEM Vesta EZ Home Application SMART SECURITY SYSTEMS! SVENSKA ios... 2-4 Android... 5-7

electiaprotect GSM SEQURITY SYSTEM Vesta EZ Home Application SMART SECURITY SYSTEMS! SVENSKA ios... 2-4 Android... 5-7 GSM SEQURITY SYSTEM Vesta EZ Home Application SVENSKA ios... 2-4 Android... 5-7 ENGLISH ios... 8-10 Android... 11-13 electiaprotect SMART SECURITY SYSTEMS! 1.1. Vesta EZ Home för ios Vesta EZ Home för

Läs mer

Programmerbara kretsar och VHDL 1. Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL 1. Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL 1 Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik 3 Dagens föreläsning Programmerbara kretsar Kombinationskretsar

Läs mer

FORTA M315. Installation. 218 mm.

FORTA M315. Installation. 218 mm. 1 Installation 2 1 2 1 218 mm. 1 2 4 5 6 7 8 9 2 G, G0= Max 100 m 1.5 mm² (AWG 15) X1, MX, Y, VH, VC = Max 200 m 0.5 mm² (AWG 20) Y X1 MX VH VC G1 G0 G 0 V 24 V~ IN 0-10 0-5, 2-6 60 s OP O 1 2 4 5 6 7

Läs mer

c a OP b Digitalteknik och Datorarkitektur 5hp ALU Design Principle 1 - Simplicity favors regularity add $15, $8, $11

c a OP b Digitalteknik och Datorarkitektur 5hp ALU Design Principle 1 - Simplicity favors regularity add $15, $8, $11 A basic -bit Select between various operations: OR, AND, XOR, and addition Full Adder Multiplexer Digitalteknik och Datorarkitektur hp Föreläsning : introduktion till MIPS-assembler - april 8 karlmarklund@ituuse

Läs mer

Installationsanvisning för kursens programvara på egen dator

Installationsanvisning för kursens programvara på egen dator Installationsanvisning för kursens programvara på egen dator Två program, Java och DrJava, skall installeras på datorn. DrJava är en så kallad utvecklingsmiljö, ett program som underlättar att programmera

Läs mer

Every visitor coming to the this website can subscribe for the newsletter by entering respective address and desired city.

Every visitor coming to the this website can subscribe for the newsletter by entering respective  address and desired city. Every visitor coming to the this website can subscribe for the newsletter by entering respective e-mail address and desired city. Latest deals are displayed at the home page, wheras uper right corner you

Läs mer

Alias 1.0 Rollbaserad inloggning

Alias 1.0 Rollbaserad inloggning Alias 1.0 Rollbaserad inloggning Alias 1.0 Rollbaserad inloggning Magnus Bergqvist Tekniskt Säljstöd Magnus.Bergqvist@msb.se 072-502 09 56 Alias 1.0 Rollbaserad inloggning Funktionen Förutsättningar Funktionen

Läs mer

Laboration 1 Introduktion till Visual Basic 6.0

Laboration 1 Introduktion till Visual Basic 6.0 Laboration 1 Introduktion till Visual Basic 6.0 Förberedelse Förbered dig genom att läsa föreläsningsanteckningar och de kapitel som gåtts igenom på föreläsningarna. Läs även igenom laborationen i förväg.

Läs mer

Komponenter med COM (och COM+/VC++ 7.0)

Komponenter med COM (och COM+/VC++ 7.0) MÄLARDALENS HÖGSKOLA Komponenter med COM (och COM+/VC++ 7.0) Med Visual C++ 7.0 COM-komponent EI0230 Komponentbaserad applikationsutveckling oktober 2003 Om denna sammanfattning Denna sammanfattning innehåller

Läs mer

(2B1560, 6B2911) HT08

(2B1560, 6B2911) HT08 Royal Institute of Technology, KTH, Kista School of Information and Communication Technology, ICT Department of Electronics, Computer and Software, ECS Digital Design, IE1204 (2B1560, 6B2911) HT08 OBS!

Läs mer

Starta MySQL Query Browser

Starta MySQL Query Browser Starta MySQL Query Browser 1. Starta MySQL Query Browser genom att antingen välja i Startmenyn: 2. eller leta upp ikonen på skrivbordet för start av MySQL Query Browser och dubbelklicka på den. 3. Du bör

Läs mer

Föreläsning 8. Designmönster

Föreläsning 8. Designmönster Föreläsning 8 Designmönster Designmönster När man designar program kan det vara viktigt att förstå hur man tidigare gått till väga när man konstruerat program. Kännedom om dessa tillvägagångssätt kan snabba

Läs mer

Release notes for Permobil R-net PC-programmer ver Dealer access level

Release notes for Permobil R-net PC-programmer ver Dealer access level 2016-10-20/JÅF for Permobil R-net PC-programmer ver 6.1.3 (R-net Application v33, Parameter info ver 1.1165, Executable ver 6.1.3) CJSM2 BT and IR support Softkeys introduced including icons and can be

Läs mer

L15 Introduktion modern digital design

L15 Introduktion modern digital design L15 Introduktion modern digital design Upplägg LP2 F15 Introduktion till modern digital design F16 Kombinatoriska nät i VHDL F17 Sekvensnät i VHDL F18 Gästföreläsning (Advenica, fortsättningskurser) F19

Läs mer

Laboration D151. Kombinatoriska kretsar, HCMOS. Namn: Datum: Epostadr: Kurs:

Laboration D151. Kombinatoriska kretsar, HCMOS. Namn: Datum: Epostadr: Kurs: UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Christer Ardlin/Lars Wållberg/ Håkan Joëlson 2000-01-28 v 2.3 ELEKTRONIK Digitalteknik Laboration D151 Kombinatoriska kretsar, HCMOS Namn:

Läs mer

InstalationGuide. English. MODEL:150NHighGain/30NMiniUSBAdapter

InstalationGuide. English. MODEL:150NHighGain/30NMiniUSBAdapter miraclebox miraclewifi InstalationGuide English MODEL:150NHighGain/30NMiniUSBAdapter ENGLISH MIRACLE WIFI 150N & 300N USERMANUAL MIRACLEBOX.SE 1 ENGLISH Table of Contents Package Contents... 3 System Requirements

Läs mer

Visa stora ikoner utan text i Command Manager = Större arbetsyta

Visa stora ikoner utan text i Command Manager = Större arbetsyta Att anpassa gränssnittet i SolidWorks är enkelt. Högerklicka i antingen Command Manager eller statuslisten och välj Customize i listan, här finns alla verktyg som används för att anpassa SolidWorks gränssnitt.

Läs mer

Styrteknik : Funktioner och funktionsblock

Styrteknik : Funktioner och funktionsblock PLC2A:1 Variabler och datatyper Allmänt om funktioner och funktionsblock Programmering av funktioner Programmering av funktionsblock PLC2A:2 Variabler i GX IEC Developer Global and Local Variables Variables

Läs mer

LUNDS TEKNISKA HÖGSKOLA Institutionen för Elektro- och Informationsteknik

LUNDS TEKNISKA HÖGSKOLA Institutionen för Elektro- och Informationsteknik LUNDS TEKNISKA HÖGSKOLA Institutionen för Elektro- och Informationsteknik SIGNALBEHANDLING I MULTIMEDIA, EITA50, LP4, 209 Inlämningsuppgift av 2, Assignment out of 2 Inlämningstid: Lämnas in senast kl

Läs mer

1. Förpackningsmaskin / Packaging machine

1. Förpackningsmaskin / Packaging machine 1. örpackningsmaskin / Packaging machine venska: En förpackningsmaskin ser ut enligt nedanstående skiss. Den inkommande tuben matas fram med motorn. otorn går så länge som dess styrsignal är sann. Om tuben

Läs mer

SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR

SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR 2 Projekt mikrobasic PRO for AVR organiserar applikationer som projekt vilka består av en enda projektfil (med filändelsen.mbpav) och en eller flera

Läs mer

Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I

Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I Flervalsfrågor. A 2. C 3. B 4. D 5. A 6. B 7. C 8. D 9. C 0. B. B 2. C 3. A 4. C 5. A Problemuppgifter. Uttryckt i decimal form: A=28+32+8

Läs mer

Styrteknik 7.5 hp distans: E-1000 och E-Designer

Styrteknik 7.5 hp distans: E-1000 och E-Designer PLC8A:1 E1000 operatörsterminaler En operatörsterminal ger ett gränssnitt mellan männinska-maskin, (MMI människa-maskininteraktion, HMI Human Machine Interface) Alla terminalerna i E1000-serien är utvecklade

Läs mer

Information technology Open Document Format for Office Applications (OpenDocument) v1.0 (ISO/IEC 26300:2006, IDT) SWEDISH STANDARDS INSTITUTE

Information technology Open Document Format for Office Applications (OpenDocument) v1.0 (ISO/IEC 26300:2006, IDT) SWEDISH STANDARDS INSTITUTE SVENSK STANDARD SS-ISO/IEC 26300:2008 Fastställd/Approved: 2008-06-17 Publicerad/Published: 2008-08-04 Utgåva/Edition: 1 Språk/Language: engelska/english ICS: 35.240.30 Information technology Open Document

Läs mer

Safe Logic Compact. Konfigurering av Rexroth säkerhets PLC. Snabbguide Svenska

Safe Logic Compact. Konfigurering av Rexroth säkerhets PLC. Snabbguide Svenska Safe Logic Compact Konfigurering av Rexroth säkerhets PLC Snabbguide 05.2013 Svenska The data specified only serve to describe the product. No statements concerning a certain condition or suitability for

Läs mer

Övning 1: Skapa virtuell maskin för utveckling.

Övning 1: Skapa virtuell maskin för utveckling. Övning 1: Skapa virtuell maskin för utveckling. Arbetsuppgift 1: Skapa storage account. Steg 1: I vänstre delen av Preview Portal, klicka på Browse. Steg 2: I fönstret Browse, klicka på alternativet Storage.

Läs mer