Sortering av cylindrar

Relevanta dokument
Beskrivning av sorteringsverket. Automationsteknik Sortering av cylindrar 1(5)

Styrning av ett sorteringsverk med PLC

LABORATIONER I STYRTEKNIK. Grundläggande PLC- programmering

Simulering med ModelSim En kort introduktion

LABORATIONSINSTRUKTION

Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas

+5V. start. Styrsystem. stopp. Tillståndsmaskiner

Automation Laboration: Överföring över PROFIBUS

OMRON. PLC till PLC kommunikation (CP1L-E) Ethernet. 22 april 2014 OMRON Corporation

HÖGSKOLAN I KALMAR Institutionen för teknik Erik Loxbo LABORATION I PLC-TEKNIK SEKVENSSTYRNING AV TRANSPORTBAND SIMATIC S7 - GRAPH

1. Förpackningsmaskin / Packaging machine

LABORATIONSINSTRUKTION

LOGIKSTYRNING/18/2: Laboration. 1 Uppgiften. Figur 1: Tågbanan

Laboration 1 Styrteknik

Styrteknik: Tidskretsar, räknare

+5V. start. Styrsystem. stopp. Tillståndsmaskiner

Programmerbar logik. Kapitel 4

LABORATIONSINSTRUKTION

Ladderprogrammering steg för steg

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn.

Laboration i digitalteknik Introduktion till digitalteknik

Ladderprogrammering steg för steg

Paneler - VCPXX.2. Programmeringsmanual för VCP-paneler. Revision 2

Styrteknik : Funktioner och funktionsblock

Simulering med ModelSim En kort introduktion

KOM IGÅNG GUIDE e!cockpit Av Carsten Holm

Sekvensstyrning Grafcet och IEC

Simulera med ModelSim

Tentamen i Digitalteknik, EITF65

Introduktion till syntesverktyget Altera Max+PlusII

Laboration Fuzzy Logic

Datorlaboration 1 Deskriptiv statistik med hjälp av MS Excel

Styrteknik : Programmering med IEC Styrteknik

Programmerbara styrsystem

Datorlaboration 1 Deskriptiv statistik med hjälp av MS Excel vers. 2010

IndraView - VCPXX.2. Recepthantering Version 1

1 Strömmen I skall mätas med en amperemeter. Amperemetern är inställd på området 30 ma. Vad kommer amperemetern att visa?

Sekvensnät i VHDL del 2

Exempel på LAX-uppgifter

Styrsystem. Quantum, M340 o Momentum. Kom-igång med konvertera Concept till Unity Rev

Försättsblad till skriftlig tentamen vid Linköpings Universitet

Sekvensnät Som Du kommer ihåg

WAGO IO System Service Seminar. Diagnostik

Styrteknik 4.5/7.5 hp distans: Programmering med IEC PLC1B:1. Styrteknik

irule Status Statusindikering i irule utan feedback från enhet. Skapat av: Pär Skärlund, Sydia Teknik AB

Styrteknik: E-Designer och E-1000

*****************************************************************************

Datorprojekt, del 1. Digitala system 15 p

PROGRAMMERING AV MCU LABORATION6. Laborationens syfte

Datorlaboration 0, Programmering i C++ (EDAF30)

BILAGA 1 ADVES MANUAL 1 (7)

LABORATIONSINSTRUKTION

Digital elektronik CL0090

Tentamen i Digital Design

GX IEC Developer Sekvensstyrning och SFC-editor

AVR 5. Styrning av trafikljus. Digitala system 15 p

Övning: Arbeta med Azure Explorer

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Safe Logic Compact. Konfigurering av Rexroth säkerhets PLC. Snabbguide Svenska

AVR 3 - datorteknik. Avbrott. Digitala system 15 hp. Förberedelser

M7005 Fischer/Weisser mätstyrningsserver M9003 ersatt med Simens S Plc

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15.

Industriella styrsystem, TSIU04. Föreläsning 1

Applikationsexempel Timer med tryckknapp

Systemkonstruktion LABORATION REALTIDSPROGRAMMERING

Grunderna i stegkodsprogrammering

IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2

SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR

Konstruktionsmetodik för sekvenskretsar

Labb i Datorsystemteknik och programvaruteknik Programmering av kalkylator i Visual Basic

International Olympiad in Informatics July 2011, Pattaya City, Thailand Tävlingsuppgifter Dag 2 Svenska 1.3. Papegojor

Micro:bit och servomotorer

Industriella styrsystem, TSIU06. Föreläsning 2

Innehåll i detta dokument

Styrteknik 4.5/7.5 hp distans: Tidskretsar, räknare

Styrteknik: SFC Introduktion

Kodlås. Kopplingsschema över kodlåset PAL-18

Verktyg och Utvecklingsmiljö. Föreläsning 2 Eclipse

Styrsystem till ABAB 255.

Datorlaboration 0, Programmering i C++ (EDA623)

1(15) Bilaga 1. Av Projekt Neuronnätverk, ABB Industrigymnasium, Västerås Vt-05

Elektronik grundkurs Laboration 6: Logikkretsar

Välkommen till. Styrteknik grundkurs

PROGES PLUS THERMOSCAN RF. Instruktionsmanual V

VHDL och laborationer i digitalteknik

Användarhantering Windows 7 I denna laboration kommer vi att skapa nya användare och grupper och titta på hur man hantera dessa.

Digitalteknik EIT020. Lecture 15: Design av digitala kretsar

Ladda upp filer fra n PLC till PC

(2B1560, 6B2911) HT08

MÄLARDALENS HÖGSKOLA. CD5560 Formella språk, automater och beräkningsteori. Användarmanual. för simulatorn JFLAP

Tentamen i Digitalteknik, EIT020

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl

Windows Forms Winstrand Development

if (n==null) { return null; } else { return new Node(n.data, copy(n.next));

PNSPO! Använda NJ med NS System Memory. 14 mars 2012 OMRON Corporation

Simulering med simulatorn TINA version 1.0

Lathund - Konfiguration av PLC och dator

Transkript:

Automationsteknik Sortering av cylindrar 1(8) Sortering av cylindrar I denna laboration ska ett antal aluminiumcylindrar sorteras med hjälp av ett sorteringsverk som styrs av en Siemens SIMATIC S7-1200 PLC. Sorteringen är baserad på höjd och diametermätning av cylindrarna. Förberedelser Läs igenom handledningen före laborationen. På sista sidan finns föreberedelseuppgifter som ska utföras före laborationen. Beskrivning av sorteringsverket Figur 1 Sorteringsverk. Figur 1 beskriver sorteringsverkets olika delar 1. Magasin för osorterade cylindrar 2. Stegmotordriven mataranordning 3. Stegmotordriven skiva 4. Lysdiod och ramp med fotodioder 5. Fack för sorterade cylindrar Matningsanordningens magasin fylls med osorterade cylindrar. Dessa matas, med hjälp av stegmotordriven matare, ut på den roterande skivan. Med lysdioden och fotodiodrampen kan diametern och höjden på cylindrarna bestämmas. Mätresultatet blir avgörande för vilket fack cylindrarna placeras i. Avlänkningen av cylindrarna från skivan sker med armar som går in över den roterande skivan då dragmagneten spänningssätts. Utifrån höjd och diameter på cylindrarna ska dessa sorteras i tre olika fack enligt: Fack 1: Cylindrar med korrekt mått Fack 2: Cylindrar som måste kasseras pga undermått (antingen höjd eller diameter) Fack 3: Cylindrar som går att ombearbeta till korrekt mått

Automationsteknik Sortering av cylindrar 2(8) För att lätt identifiera cylindrarna har dessa försetts med färgmärkning. De ofärgade cylindrarna har korrekt mått Fotodiodrampen består av 3 st fotodioder placerade över varandra med 2 mm avstånd. Den understa dioden OPT1 mörkläggs alltid då en cylinder passerar. Genom att mäta skivans vridningsvinkel (räkna antalet stegpulser till skivans drivmotor) under den tid OPT1 är avskärmad kan cylindrar med olika diametrar skiljas åt. Mörklagd fotodiod ger en nolla till PLCn. Cylindrana höjd detekteras med fotodioderna enligt följande: Låg Normal Hög OPT3 1 1 0 OPT2 1 0 0 OPT1 0 0 0 Stegmotorerna som driver matare och den roterande skivan styrs med pulser från en pulsgenerator på det externa anpassningskortet. För att mataren ska göra ett slag, dvs mata ut en cylinder, krävs 320 pulser. Sekvensbeskrivning Sorteringsprocessen startar när startknappen på det externa anpassningskortet påverkas. Mataren matar ut en cylinder på skivan. Antalet stegmotorpulser till mataren måste räknas så att mataren kan stoppas efter exakt ett slag. Skivan börjar rotera och när cylindern når fotodioderna påbörjas diametermätningen. Räknaren börjar räkna pulser när den nedersta dioden OPT1 blir mörklagd. När räknaren räknat upp till det antal pulser som innebär att cylindern befinner sig ungefär mittför givarna läses höjden av med hjälp av de två övre givarna. Värdena på OPT2 och OPT3 sparas därvid undan för att kunna användas i ett senare steg i sorteringen. Samtidigt fortsätter pulsräkningen. När cylindern passerat givarna känner man både höjd och diameter och kan utifrån dessa fatta beslut om vilket fack cylindern ska skickas till. Avlänkningsarmen till rätt fack öppnas och förblir öppen den tid som krävs för cylindern att nå fram. Alltså krävs tre olika timers, en för varje fack. PLC Signalerna till och från sorteringsverket är inkopplade till PLCn enligt följande: Ingångar Utgångar Pulsräknare I0.0 Avlänkning 1 Q0.0 OPT1 I0.1 Avlänkning 2 Q0.1 OPT2 I0.2 Avlänkning 3 Q0.2 OPT3 I0.3 Rotera skiva Q0.3 Startknapp I0.4 Matare Q0.4

Automationsteknik Sortering av cylindrar 3(8) PLCn har en cykeltid i storleksordningen 100 ms medan stegmotorpulserna har en periodtid på ca 5 ms. Detta gör att en vanlig räknare i PLCn inte är tillräckligt snabb för att räkna dessa pulser. Däremot finns det en särskild High speed counter som klarar av frekvenser upp till 200 khz. Denna räknare arbetar asynkront med resten av PLCn. För att försäkra sig om att räknaren räknar in rätt antal pulser är räknaringången försedd med extern logik vilket gör att pulser kommer in till räknaren endast när OPT1 är påverkad eller när man har aktiverat mataren. Räknarvärdet blir därmed inte beroende av cykeltiden i PLCn och en högre precision i diametermätningen erhålles. Figur 2 Ingångslogik till räknaren. Skapa nytt projekt Detta är endast en kortfattad beskrivning. För en utförligare beskrivning se t.ex. Startup Programming of the SIMATIC S7-1200 with TIA Portal V10. Välj Create new project, namnge projektet och klicka på Create. Gå över till Project view. Klicka på Add new device i Project tree. Dubbelklicka på CPU 1214C AC/DC/Rly och markera 6ES7 214-1BE30-0XB0, klicka OK. Under PLC_1 Program blocks finns nu ett Main-block, ytterligare block kan läggas till genom att klicka på Add new block. I detta fall väljer vi att lägga till ett funktionsblock FB och väljer Language: FBD. Implementering av sekvensstyrning Nedan följer ett exempel på hur sekvensstyrning kan implementeras med hjälp av funktionsblock. In och utgångarna till PLCn namnges i en s.k. tag table för att öka överskådligheten i programmet.

Automationsteknik Sortering av cylindrar 4(8) Figur 3 Exempel på tag table som benämner in och utgångar. Själva sekvensen finns i funktionsblocket MOTORSEKVENS i Figur 7. Detta funktionsblock anropas från Main varje programcykel. PLCns in- och utgångar är anslutna till MOTORSEKVENS-blocket. Här används definitionerna angivna i tag table. Figur 4 Exempel på funktionsblock.

Automationsteknik Sortering av cylindrar 5(8) In- och utgångar till funktionsblocket MOTORSEKVENS är definierade i funktionsblockets interface, se Figur 5. Där finns också möjlighet att definiera variabler som använd inne i blocket. Dessa kan definieras antingen som Static eller Temp. Static-variabler behåller sina värden från en programcykel till nästa medan Temp-variablerna bara finns tillgängliga medan blocket exekveras under den aktuella programcykeln. I detta fall ska en sekvensstyrning implementeras och PLCn måste därmed komma ihåg det aktuella tillståndet till nästa programcykel. Alltså definieras tillstånden som Static. I exemplet nedan är tillstånden S_STILLA, S_STARTAR, S_DRIFT och S_STOPPAR. Observera att Default value på S_STILLA är satt till true för att initiera sekvensen till att starta i detta tillstånd, se Figur 5. Figur 5 Exempel på interface till funktionsblock.

Automationsteknik Sortering av cylindrar 6(8) I Figur 6 är sekvensen implementerad med hjälp av SR-vippor med en vippa för varje tillstånd. Övergången till ett nytt tillstånd bestäms av vilket tillstånd man befinner sig i för tillfället samt av insignalerna. Utsignalerna, som endast är beroende av vilket tillstånd man befinner sig i, placeras lämpligen separerade från själva sekvensen. I detta exempel finns bara en utsignal, nämligen K. Figur 6 Exempel på sekvens inuti funktionsblock.

Automationsteknik Sortering av cylindrar 7(8) Användning av high speed conunter Högerklicka på PLC_1 i Project tree, välj Proporties, High speed counter(hsc)1 och markera Enable. Räknevärdet från HSC1 återfinnes på adress %ID1000. I exemplet nedan skickas detta värde till minnesadress %MD0 bara för att man ska kunna läsa av det. Variabeln är av typen DInt. För att starta räknaren räcker det med att välja enable enligt ovan. Om man däremot önskar ytterligare kontroll, såsom t.ex. nollställning av räkneregistret, behövs blocket CTRL_HSC som hämtas från Counting. Sedan blocket placerats måste man koppla det till rätt räknare. Dubbelklicka på HSC-ingången och välj HSC_1. När CV-ingången sätts till TRUE nollställs räkneregistret. I exemplet nedan sker detta när START blir FALSE. Figur 7 Exempel på användning av räknaren för mätning av diameter på cylindrarna. Användning av timer En tillslagsfördröjd timer kan hämtas från Timer operations TON. Om timern infogas i ett funktionsblock, välj Multi instance och klicka OK. PT-ingången anger den tid som ska förflyta innan timerns utgång aktiveras, i detta fall 2s. Timerns utgång Q kan användas i andra delar av programmet utan att göra någon grafisk koppling. Istället anges timernamn.q i villkoret där man önskar använda värdet på timerns utgång. Figur 8 Exempel på användning av timer.

Automationsteknik Sortering av cylindrar 8(8) Kompilering och nerladdning Eftersom High speed countern är hårdvaruimplementerad måste man kompilera både hårdvara och mjukvara. Dessutom måste man vara uppmärksam på att samtliga block i projektet kompileras. Om man använder respektive för att kompilera och ladda ner koden har det betydelse vilket block som är markerat just då vilket lätt kan leda till att man tror att hela projektet är kompilerat och nerladdat trots att så inte är fallet. För att undvika eventuella problem kan man istället högerklicka på PLC_1 i Project tree och därefter välja Compile - All samt Download to device All. Första gången man laddar ner koden dyker rutan Extended download to device upp. Välj Type of the PG/PC interface PN/IE och klicka Load när PLCn är funnen. Om rutan Assign IP adress dyker upp, klicka OK. När programmet är kompilerat, nerladdat och körs kan man studera variablernas värden genom att klicka på Förberedelseuppgifter Rita en tillståndsgraf som löser sorteringsuppgiften. Analysera programmet i Figur 6. Labbuppgifter För att bestämma sorteringsvillkoren för de olika diametrarna måste antalet pulser för de olika diametrarna vara känt. Skriv ett enkelt program som roterar skivan samt innehåller en High speed counter. Räknaren nollställes lämpligen med START-knappen. Låt cylindrar av olika diameter passera givarna och läs av räkneregistret. För att diametermätningen ska bli korrekt är det viktigt att cylindrarna placeras intill styrplåten som är monterad på mataren. Implementera en sekvensstyrning enligt den tidigare konstruerade tillståndsgrafen.