LABORATIONER I STYRTEKNIK. Grundläggande PLC- programmering

Storlek: px
Starta visningen från sidan:

Download "LABORATIONER I STYRTEKNIK. Grundläggande PLC- programmering"

Transkript

1 1 LABORATIONER I STYRTEKNIK LABORATION : Grundläggande PLC- programmering

2 2 Övning 1. Rita ur reläschemat nedan ett ladderschema i GX IEC Developer. Kör programmet och prova funktionen med hjälp av ingångssimulatorn. Reläschema: Rita ett logikschema (IEC- symboler): Ange ett Booleskt uttryck: Ex.: (Du kan nu radera färdigtestade övningar och inför en ny övning fortsätta att arbeta i samma projekt).

3 3 Övning 2. Rita ur reläschemat nedan ett ladderschema i GX IEC Developer. Kör programmet och prova funktionen med hjälp av ingångssimulatorn. Reläschema: Not- funktionen fås genom att dubbelklicka på laddersymbolen och markera negation. Rita ett logikschema (IEC- symboler): Ange ett Booleskt uttryck:

4 4 Övning 3. Rita ur reläschemat nedan ett ladderschema i GX IEC Developer. Kör programmet och prova funktionen med hjälp av ingångssimulatorn. Reläschema: Rita ett logikschema (IEC- symboler): Ange ett Booleskt uttryck:

5 5 Övning 4. Editera nedanstående logiska uttryck och testa programmet med hjälp av ingångssimulatorn. Booleskt uttryck: X A ( B C) ( D E) Adresser: A = X0, B = X1, C = X2, D = X3, E = X4, X = Y0 Rita ett ladderschema : Rita ett logikschema (IEC- symboler):

6 6 Övning 5. Minnesfunktionen Minnesfunktionen kan skapas på två sätt. Vi skall testa båda sätten. a) Rita ur det Booleska uttrycket nedan ett ladderschema. Kör programmet och prova funktionen med hjälp av ingångssimulatorn. Logisk symbol (allmän): A B S R X S=Set R=Reset Boolesk ekvation: X ( A X) B - se Alm: Styrteknik s Välj följande adresser på PLC- systemet: A = X0, B = X1, X = Y0 och testa funktionen. b) Testa nu funktionen RS (funktionsblock som är reset-dominant; R = Reset och S = Set; (Anm. blocket SR är set- dominant). Välj ur funktionsblocksbiblioteket blocket RS. Sätt (förslagsvis) i rutan ovanför blocket RS1. Glöm inte att ta define i visat fönster. (Varje funktionsblock måste definieras). Fyll i sanningstabell nedan: X0 X1 Y

7 7 Övning 6. Timerfunktionen- Fördröjningsfunktionen. Allmän symbol : t1 t2 A X t1 - fördröjt tillslag t2 - fördröjt frånslag Timerfunktionen i PLC- systemet är endera av typen fördröjt tillslag TON eller fördröjt frånslag TOF Prova med följande funktion: Låt utgång Y0 1 - ställas 5 sek. efter det att ingång X0 har blivit 1 - ställd. Implementera timerfunktionen TON som ett funktionsblock. OBS! Ovanför blocket står Instance som skall döpas med t.ex. timer1. Förinställd fördröjning (PT = preset time) skrivs: T#5s. Vid ET (= elapsed time) kan man skriva timervariabeln TO0 etc. som i on-line mod visar förlupen tid. OBS! Glöm inte att skriva i instance- rutan och sedan kvittera på define. Fråga: Vad händer om ingång X0 är aktiv kortare tid än den förinställda tiden?... Testa därefter funktionen fördröjt frånslag TOF! Ex.

8 8 Övning 7. Counterfunktionen - räknefunktionen Allmän symbol: Counterfunktionen CTU är en heltalsräknare som räknar upp till ett förinställt värde. CTD är en motsvarande nerräknare. Låt utgång Y0 1- ställas efter det att ingång X0 har fått 5 pulser (simuleras med ingångssimulatorn). Nollställning av räknaren görs med en puls på ingång X1 (simuleras med ingångssimulatorn). Testa även nerräknaren CTD. PV = Preset Value, CV = Current Value Ex.:

9 9 Övning 8 Pulsfunktionen En puls ska genereras med varaktigheten 2 sek. på utgång Y0 efter det att ingång X0 har aktiverats. Testa funktionen genom att låta X0 vara aktiv en längre resp. kortare tid än timertiden 2 sek. Lägg till 2 st hjälpminnesceller M0 och M1 som intrena hjälpminnesceller (celler för tillfällig lagring av data). Logiskt schema: Implementera detta i LD- miljö. Använd här 2 st. hjälpminnen M0 och M1. OBS! Dela upp det logiska schemat ovan i tre delar tre s.k. networks. Rita ett ladderschema (LD):

10 10 Övning 9. Pulsgenerator. En pulsgenerator (signalgenerator) ska generera en alternerande följd av nollor och ettor på utgång Y0 när ingång X1 är aktiv. Pulsfrekvensen är 0.5 Hz. Testa sedan funktionen med olika långa tider för en assymetrisk vippa. Logiskt schema:

11 11 Övning 10. Programmera styrsystemet för körning av en pneumatisk cylinder C1 fram och åter så länge som startknappen START är aktiv. Lägesgivarna C1F och C1B används för styrningen. Följddiagram och logikschema: Gör ett schema i LD med följande adresser: START C1F C1B Vc1+ Vc1- X11 X0 X1 Y0 Y1 Anslut PLC- systemet till cylindertavlan. Glöm inte att ansluta luften.

12 12 Övning 11. Programmera styrsystemet för körning av en pneumatisk cylinder C1 och C2 fram och åter så länge som startknappen är aktiv. Lägesgivarna C1F, C1B, C2F, C2B och Start används för styrningen. Följddiagram och logikschema: Start C1B C2B & VC1+ C1F C2F C1B VC2+ VC1- VC2- Gör ett schema i LD med följande adresser: START C1F C1B C2F C2B VC1+ VC1- VC2+ VC2- X11 X0 X1 X2 X3 Y0 Y1 Y2 Y3 Anslut PLC- systemet till cylindertavlan.

13 13 Följande styrproblem skall lösas innan laborationen startar Övning 12. En cylinder C1 går fram och låser fast ett arbetsstycke. Cylinder C2 går fram och bearbetar arbetsstycket och därefter retur. Till sist så lösgörs stycket. Programmera PLC- systemet med med LD. Inför en startfunktion S för encykelförlopp. Gör en lösning med eller fasindelningsmetod. C1 C2 Lösning:

14 14 Övning 13. Programmera PLC- systemet för ett automatiskt fram och återgående förlopp av cyl. C1 med fördröjning i främre ändläget. Inför en startfunktion S. 7 sek C1 Lösning:

15 15 Övning 14. Programmera PLC- systemet för ett automatiskt fram och återgående förlopp av cyl. C1 och C2 med fördröjning i bakre ändläget för C1. Inför en startfunktion S. Rita logiskt schema nedan. C1 C2 5 sek Lösning:

16 16 Övning 15. Programmera PLC- systemet för styrning av 3 cylindrar C1, C2 och C3 enl. nedanstående följddiagram. Inför en startfunktion S. Rita logiskt schema. C1 C2 C3 Lösning:

17 17 Övning16. Programmera PLC- systemet för styrning av 3 cylindrar C1, C2 och C3 enl. nedanstående följddiagram. Inför en startfunktion S. Rita logiskt schema. C1 C2 C3 Lösning:

18 18 Övning 17. Gör nedanstående styrning i SFC inkluderande en räknare som räknar slagen på cylinder C2. Inför även en startfunktion S. C1 C2

19 19 Övning 18. Gör ett program för nedanstående styrning. Inför även en startfunktion S. C1 C2 C3 5 s

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1 Styrteknik Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner

Läs mer

Programmerbara styrsystem

Programmerbara styrsystem Styrteknik ETB016 Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner Programmerbara styrsystem PLC står för Programmable Logical

Läs mer

Styrteknik : Programmering med IEC 61131-3. Styrteknik

Styrteknik : Programmering med IEC 61131-3. Styrteknik PLC1B:1 Styrteknik Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner PLC1B:2 PLC står för Programmable Logical Controller Kom

Läs mer

Följddiagram för händelsestyrda rörelser

Följddiagram för händelsestyrda rörelser Följddiagram för händelsestyrda rörelser 2 STYROBJEKT UNIKA FASER Två arbetscylindrar ska röra sig i följande ordning. När man ger startkommando ska kolvstången i cylinder gå ut. När den har nått sitt

Läs mer

Sekvensstyrning Grafcet och IEC

Sekvensstyrning Grafcet och IEC Sekvensstyrning Grafcet och IEC 61131-3 Indtroduktion GRAFCET Tekniken grundades i Frankrike på 1970-talet och ligger till grund för ett standardiserat programspråk i enlighet med standard IEC 61131-3.

Läs mer

Styrteknik: Tidskretsar, räknare

Styrteknik: Tidskretsar, räknare PLC4A:1 Timer: Tidtagare, automatisk till- eller frånkoppling. Timer för PLC (Tidkrets) En tidsstyrd ett- eller noll-ställning av en utgång/minnescell. Tidsstyrningen kan vara tillslagsfördröjd (on delay

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Introduktion till PLC-programmering KURS ETB016 Styrteknik LAB NR PLC 1 INNEHÅLL 1. PLC systemet FX1S 2. Introduktion till GX IEC Developer

Läs mer

Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas

Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas Tentamen i ET1014 Introduktion till elektroteknik och styrteknik 7.5 hp 2012-08-22 14.00-18.00, Sal Sxxx Hjälpmedel: Miniräknare, formelblad (bifogad)

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Trafikljus med SFC-programmering KURS El- och styrteknik för tekniker ET1015 INNEHÅLL LAB NR PLC 5 Ver 1.0 1. Inledning 2. Laborationskortet

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION PLC-styrning av ett minimalt parkeringsgarage KURS El- och styrteknik för tekniker ET 1015 INNEHÅLL LAB NR 4 Ver 1.0 1. Inledning 2. Laborationskortet

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Introduktion till PLC-programmering KURS Styrteknik ET1001, ET1007, ET1009 LAB NR 1 INNEHÅLL 1. PLC systemet FX1S 2. Introduktion till

Läs mer

1 Strömmen I skall mätas med en amperemeter. Amperemetern är inställd på området 30 ma. Vad kommer amperemetern att visa?

1 Strömmen I skall mätas med en amperemeter. Amperemetern är inställd på området 30 ma. Vad kommer amperemetern att visa? Högskolan Dalarna Sida 1 av 11 Elektroteknik Per Liljas/Lars-Erik Cederlöf Tentamen i ET1014 Introduktion till elektroteknik och styrteknik 7.5 hp 2011-08-22 9.00-13.00, Sal Sxxx Hjälpmedel: Miniräknare,

Läs mer

Sortering av cylindrar

Sortering av cylindrar Automationsteknik Sortering av cylindrar 1(8) Sortering av cylindrar I denna laboration ska ett antal aluminiumcylindrar sorteras med hjälp av ett sorteringsverk som styrs av en Siemens SIMATIC S7-1200

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Introduktion till PLC-programmering KURS Styrteknik grundkurs ET1009 INNEHÅLL LAB NR 1 Ver 1.1 1. PLC systemet FX1S 2. Introduktion till

Läs mer

Minneselement,. Styrteknik grundkurs. Digitala kursmoment. SR-latch med logiska grindar. Funktionstabell för SR-latchen R S Q Q ?

Minneselement,. Styrteknik grundkurs. Digitala kursmoment. SR-latch med logiska grindar. Funktionstabell för SR-latchen R S Q Q ? Styrteknik grundkurs Digitala kursmoment Binära tal, talsystem och koder Boolesk Algebra Grundläggande logiska grindar Minneselement, register, enkla räknare Analog/digital omvandling SR-latch med logiska

Läs mer

Styrteknik 4.5/7.5 hp distans: Tidskretsar, räknare

Styrteknik 4.5/7.5 hp distans: Tidskretsar, räknare PLC4B:1 Olika exempel med Timers och Counters En Enkel Timer med MELSEC IL Funktion: LD BTN_DGVG OUT T1 K50 LD T1 OUT LEDKG_RED Om BTN_DGVG trycks ned och hålls nedtryckt: => LEDKG_red = 1 efter 5.0 sek

Läs mer

Styrteknik: Grundläggande logiska funktioner D2:1

Styrteknik: Grundläggande logiska funktioner D2:1 Styrteknik: Grundläggande logiska funktioner D2:1 Digitala kursmoment D1 Boolesk algebra D2 Grundläggande logiska funktioner D3 Binära tal, talsystem och koder Styrteknik: Grundläggande logiska funktioner

Läs mer

Automation Laboration: Överföring över PROFIBUS

Automation Laboration: Överföring över PROFIBUS Automation Laboration: Överföring över PROFIBUS Inledning Sedan slutet av 80-talet har kommunikationssystemet PROFIBUS utvecklats och ökat i användning inom industrin. PROFIBUS utgör ett exempel på ett

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION KURS ET1001 Styrteknik LAB NR PLC 5 INNEHÅLL 1. Inledning 2. Laborationskortet i styrteknik 3. Laborationsuppgifter NAMN KOMMENTARER PROGRAM/KURS

Läs mer

F5 Introduktion till digitalteknik

F5 Introduktion till digitalteknik Exklusiv eller XOR F5 Introduktion till digitalteknik EDAA05 Roger Henriksson Jonas Wisbrant På övning 2 stötte ni på uttrycket x = (a b) ( a b) som kan utläsas antingen a eller b, men inte både a och

Läs mer

Manual för PC-program Larm

Manual för PC-program Larm Manual för PC-program Larm Rev. 04-06-02 Manual för PC-program...1 Allmänt...3 Programmet...3 Grundinställningar...4 Larmlistor...5 Larmlista - Funktion...5 Larmlista Typ...6 Larmlista - exempel...6 Ingångar

Läs mer

Kortlaboration DIK. Digitalteknik, kombinatorik.

Kortlaboration DIK. Digitalteknik, kombinatorik. MMK, KTH Kortlaborationer 1 Kortlaboration DIK Digitalteknik, kombinatorik. I denna laboration bekantar vi oss med datorprogrammet LabVIEW. Programmet har blivit något av en industristandard för att automatisera

Läs mer

Handbok FJÄRRSTYRT RELÄ Typ: RR120X/240X

Handbok FJÄRRSTYRT RELÄ Typ: RR120X/240X Handbok FJÄRRSTYRT RELÄ Typ: RR120X/240X CSD AB 97-03-01 RR120X/240X är ett fjärrstyrt dubbelrelä för 12- resp. 24 Volt likström elsystem. Reläet styrs av en mikroprocessor vilken kan ställas in att utföra

Läs mer

Programmering av Tidur E 18 978 85

Programmering av Tidur E 18 978 85 Programmering av Tidur E 18 978 85 Programmering av Tidur E 18 978 85... 1 1 Funktion... 2 2 Programmering... 2 2.1 Inställning av aktuella data... 2 2.1.1 Inställning sommar-/vintertid... 2 2.1.2 Inställning

Läs mer

Programmerbar logik. Kapitel 4

Programmerbar logik. Kapitel 4 Kapitel 4 Programmerbar logik Programmerbar logik (PLC: Programmable Logic Controller; fi. ohjelmoitava logiikka) är en sorts mikrodatorliknande instrument som är speciellt avsedda för logik- och sekvensstyrningsproblem.

Läs mer

GX IEC Developer Sekvensstyrning och SFC-editor

GX IEC Developer Sekvensstyrning och SFC-editor GX IEC Developer Sekvensstyrning och SFC-editor 1 Vad är en sekvens? STEG0 START STEG1 STEG2 STEG3 UTMATARE SENS_UTMAT UTMATARE SENS_UTMAT KORG_NER SENS_VÅN1 Ett sekvensprogram används i PLC-systemet när

Läs mer

DIGITALTEKNIK I. Laboration DE1. Kombinatoriska nät och kretsar

DIGITALTEKNIK I. Laboration DE1. Kombinatoriska nät och kretsar UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Björne Lindberg/Håkan Joëlson John Berge 2013 DIGITALTEKNIK I Laboration DE1 Kombinatoriska nät och kretsar Namn... Personnummer... Epost-adress...

Läs mer

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson, John Berge 203 DIGITALTEKNIK I Laboration DE2 Sekvensnät och sekvenskretsar Namn... Personnummer... Epost-adress... Datum för

Läs mer

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler Exempeluppgift i Logikstyrning Inledning Idén med detta papper är att ge en allmän beskrivning av labbutrustningen och tips för hur man kan lösa olika praktiska problem i samband med laborationen. Läs

Läs mer

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15.

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15. Aktivera Kursens mål: LV3 Fo7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

Laborationshandledning för mätteknik

Laborationshandledning för mätteknik Laborationshandledning för mätteknik - digitalteknik och konstruktion TNE094 LABORATION 2 Laborant: E-post: Kommentarer från lärare: Institutionen för Teknik och Naturvetenskap Campus Norrköping, augusti

Läs mer

OMRON. PLC till PLC kommunikation (CP1L-E) Ethernet. 22 april 2014 OMRON Corporation

OMRON. PLC till PLC kommunikation (CP1L-E) Ethernet. 22 april 2014 OMRON Corporation Ethernet 22 april 2014 OMRON Corporation 2/16 Läs detta innan du bläddrar vidare OMRON Denna bok är avsedd som ett tillägg till de ursprungliga manualerna för Omrons produkter. Använd den som en hjälp

Läs mer

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn.

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn. Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg Laboration nr 4 i digitala system ht-15 Ett sekvensnät.. grupp.. namn. godkänd Laborationens syfte: att ge grundläggande kunskaper i att

Läs mer

Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1

Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1 Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1 Digitala kursmoment D1 Binära tal, talsystem och koder D2 Boolesk Algebra D3 Grundläggande logiska grindar D4 Minneselement, register,

Läs mer

Övervakning & Programspråk

Övervakning & Programspråk Övervakning & Programspråk Denna PowerPoint är gjord för att du ska få en inblick i vad ett driftövervakningssystem är. Vad kan man se? Olika tekniska funktioner? Fördelar? Även en inblick i hur man programmerar

Läs mer

Enchipsdatorer med tillämpningar LABORATION 7, ROBOT

Enchipsdatorer med tillämpningar LABORATION 7, ROBOT Enchipsdatorer med tillämpningar LABORATION 7, ROBOT Laborationsansvariga: Anders Arvidsson Utskriftsdatum: 2005-05-14 Laboranter: 1 Syfte Denna laboration syftar till att introducera interrupt och watchdog

Läs mer

Zelio logic genomgång

Zelio logic genomgång Zelio logic genomgång 1 Produkterna Grattulerar! Du har valt en av följande Zelio produkter: 2 Omgivning Zelio Logic programmeras via Zelio Soft mjukvara eller direkt på modulen (Ladder). Zelio Soft tillåter

Läs mer

Lösningar till tentamen i styr- och reglerteknik (Med fet stil!)

Lösningar till tentamen i styr- och reglerteknik (Med fet stil!) Lösningar till tentamen i styr- och reglerteknik (Med fet stil!) Uppgift 1 (4p) Figuren nedan visar ett reglersystem för nivån i en tank.utflödet från tanken styrs av en pump och har storleken V (m 3 /s).

Läs mer

Manual för EQE PLC enhet

Manual för EQE PLC enhet Manual för EQE PLC enhet EQE PLC enheten är enkelt programmerbar via byglingar. Detta gör att inga förkunskaper inom programmering behövs. De olika funktionerna realiseras helt enkelt genom att flytta

Läs mer

Laboration 2 i Styrteknik

Laboration 2 i Styrteknik 070921/Thomas Munther Sektionen för Informationsvetenskap, Dator och Elektroteknik Laboration 2 i Styrteknik Anvisningar: för godkänd uppgift krävs en inlämnad programlistning av typen SFC där alla relevanta

Läs mer

TSIU05 Digitalteknik. LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System

TSIU05 Digitalteknik. LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System 1 TSIU05 Digitalteknik LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System Sammanställning september 2013 Läs detta först Läs igenom hela laborationen så du vet vad du skall göra på laborationspasset. Hela

Läs mer

1. Förpackningsmaskin / Packaging machine

1. Förpackningsmaskin / Packaging machine 1. örpackningsmaskin / Packaging machine venska: En förpackningsmaskin ser ut enligt nedanstående skiss. Den inkommande tuben matas fram med motorn. otorn går så länge som dess styrsignal är sann. Om tuben

Läs mer

Tentamen SSY 065, lördag 14/4, 08:30-12:30, M. Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30

Tentamen SSY 065, lördag 14/4, 08:30-12:30, M. Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30 Industriautomation Tentamen SSY 065, lördag 14/4, 08:30-12:30, M Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30 Fullständig lösning ska lämnas på samtliga uppgifter. I förekommande

Läs mer

SNABB-GUIDE FÖR GOOGOL T1 PROGRAMMERING.

SNABB-GUIDE FÖR GOOGOL T1 PROGRAMMERING. SNABB-GUIDE FÖR GOOGOL T1 PROGRAMMERING. Inledning. Denna snabb-guide är främst framtagen för dig som aldrig har programmerat någon GoogolT1, men kan även hjälpa dig som inte så ofta jobbar med GoogolT1.

Läs mer

Beskrivning av sorteringsverket. Automationsteknik Sortering av cylindrar 1(5)

Beskrivning av sorteringsverket. Automationsteknik Sortering av cylindrar 1(5) Automationsteknik Sortering av cylindrar 1(5) Sortering av cylindrar I denna laboration ska ett antal aluminiumcylindrar sorteras med hjälp av ett sorteringsverk som styrs av en mikroprocessor. Sorteringen

Läs mer

Exempel på tentamensfrågor Digitalteknik

Exempel på tentamensfrågor Digitalteknik Exempel på tentamensfrågor Digitalteknik Till dessa frågor (som kommer från lite olika tidgare tentor) gällde förutsättningen: Hjälpmedel: Kurslitteratur, föreläsningsantecknigar lab. med mätresultat,

Läs mer

IE1204/IE1205 Digital Design

IE1204/IE1205 Digital Design TENTAMEN IE1204/IE1205 Digital Design 2012-12-13, 09.00-13.00 Inga hjälpmedel är tillåtna! Hjälpmedel Tentamen består av tre delar med sammanlagd tolv uppgifter, och totalt 30 poäng. Del A1 (Analys) innehåller

Läs mer

Välkommen till. Styrteknik grundkurs

Välkommen till. Styrteknik grundkurs Välkommen till Styrteknik grundkurs Allmänt om styrsystem (PLC) Ladder och Logik Grundinstruktioner Tidskretsar Räknare Minne SET- och RST-instruktioner Strukturering av program Sekvensprogrammering överkurs

Läs mer

Manual FKC01C924 TID/PULS/FREKVENSMÄTARE

Manual FKC01C924 TID/PULS/FREKVENSMÄTARE Manual FKC01C924 TID/PULS/FREKVENSMÄTARE Bruksanvisning Elektronisk förvalsräknare Typ Serie FKC01C924 1. Beskrivning - 6 digital siffrig räknare, 1 förval add. / subtr. - Ljus 2-linje LCD display med

Läs mer

EQ CSE Manual Mikroprocessor

EQ CSE Manual Mikroprocessor EQ CSE Manual Mikroprocessor 2.0 Serviceläge Nedan beskrivs inställning som kan göras genom bygling. A) TS Sluten = Serviceläge med kortade tider. B) TS Öppen = Normalläge 2.1 Minnesfunktion Följande inställningar

Läs mer

Klockslag resp. kopplingstid

Klockslag resp. kopplingstid 1. Bruksanvisning 2 1.0 Förord Läs igenom bruksanvisningen noggrant, så att alla urets fördelar kan utnyttjas optimalt. Programmeringen av detta mikroprocessortyrda ur sker enkelt och logiskt. Uret kan

Läs mer

Tentamen i Digital Design

Tentamen i Digital Design Kungliga Tekniska Högskolan Tentamen i Digital Design Kursnummer : Kursansvarig: 2B56 :e fo ingenjör Lars Hellberg tel 79 7795 Datum: 27-5-25 Tid: Kl 4. - 9. Tentamen rättad 27-6-5 Klagotiden utgår: 27-6-29

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Institutionen för Elektroteknik LABORATION LABORATIONSINSTRUKTION LOG/iC, PLD, kombinatorik, sekvensnät KURS Digitalteknik LAB NR 6 INNEHÅLL. Inledning 2. Prioritetskodare 3. Elektronisk

Läs mer

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Christer Ardlin/Lars Wållberg/ Dan Weinehall/Håkan Joëlson 2008-01-24 v 2.1 ELEKTRONIK Digitalteknik Laboration D181 Kombinatoriska kretsar,

Läs mer

Grunderna i stegkodsprogrammering

Grunderna i stegkodsprogrammering Kapitel 1 Grunderna i stegkodsprogrammering Följande bilaga innehåller grunderna i stegkodsprogrammering i den form som används under kursen. Vi kommer att kort diskutera olika datatyper, villkor, operationer

Läs mer

MANUAL. till CARDMAN 2005.11.25

MANUAL. till CARDMAN 2005.11.25 MANUAL till 2005.11.25 Somedic Production AB Box 519 192 05 Sollentuna, SVERIGE tel: 08-356827, fax: 08-356874 e-mail: info@somedicprod.se www.somedicprod.se INNEHÅLLSFÖRTECKNING 1. Kapitel 1. Beskrivning

Läs mer

Tentamen i: Hydraulik och Pneumatik. Totalt antal uppgifter: 10 + 5 Datum: 2012-03-26. Examinator: Hans Johansson Skrivtid: 14.00 19.

Tentamen i: Hydraulik och Pneumatik. Totalt antal uppgifter: 10 + 5 Datum: 2012-03-26. Examinator: Hans Johansson Skrivtid: 14.00 19. KARLSTADS UNIVERSITET Fakulteten för teknik- och naturvetenskap Tentamen i: Hydraulik och Pneumatik Kod: MSGB24 Totalt antal uppgifter: 10 + 5 Datum: 2012-03-26 Examinator: Hans Johansson Skrivtid: 14.00

Läs mer

Teori Se din kursbok under avsnitt PID-reglering, Ziegler-Nichols metod och olinjära system (avsnitt 7.7 i Modern Reglerteknik av Bertil Thomas).

Teori Se din kursbok under avsnitt PID-reglering, Ziegler-Nichols metod och olinjära system (avsnitt 7.7 i Modern Reglerteknik av Bertil Thomas). 03-10-14/TFE CJ, BT, BaE, SG Laboration i kurs Tillämpad reglerteknik Institutionen för tillämpad fysik och elektronik Umeå universitet PID - NIVÅREGLERING AV TANK Målsättning Målet med denna laboration

Läs mer

Styrteknik: MELSEC FX och numeriska värden

Styrteknik: MELSEC FX och numeriska värden PLC2C:1 MELSEC FX I kursen styrteknik används styrsystemet FX1S som är ett litet system i MELSEC FX-serien. Vår version av FX1S har endast digitala in- och utgångar men oftast finns det både digitala och

Läs mer

Ontech Control för Android Användarmanual Svenska

Ontech Control för Android Användarmanual Svenska Ontech Control för Android Användarmanual Svenska Inställningar Innan du använder denna app första gången så måste du ställa in den. Meny knapp Tryck på Meny knappen på startsidan och sedan Settings. Välj

Läs mer

Blockly är en av apparna som används för att styra roboten Dash. Den är väldigt enkel i sin utformning och kan med fördel användas av yngre barn.

Blockly är en av apparna som används för att styra roboten Dash. Den är väldigt enkel i sin utformning och kan med fördel användas av yngre barn. Manual till appen Blockly till Dash Blockly är en av apparna som används för att styra roboten Dash. Den är väldigt enkel i sin utformning och kan med fördel användas av yngre barn. Du måste ha en Dashrobot

Läs mer

Övervakning & Programspråk

Övervakning & Programspråk Övervakning & Programspråk Denna PowerPoint är gjord för att du ska få en inblick i vad ett driftövervakningssystem är. Vad kan man se? Olika tekniska funktioner? Fördelar? Även en inblick i hur man programmerar

Läs mer

Cinemis. Manual. - Utkast -

Cinemis. Manual. - Utkast - Cinemis Manual - Utkast - Beskrivning Systemet består dels av ett USB interface med anslutningar för givare och tidkod, dels mjukvara till PC som låser mediafiler mot synk. Användningsområden för systemet

Läs mer

Bokens innehåll 1. MEKATRONIK en del av vår vardag 2. Styrning med LOGISKA FUNKTIONER

Bokens innehåll 1. MEKATRONIK en del av vår vardag 2. Styrning med LOGISKA FUNKTIONER 1. MEKATRONIK en del av vår vardag Mekatronik i hemmet Mekatronik i fabriken Elektromekaniska styrsystem Pneumatiska styrsystem Hydrauliska styrsystem Elektriska styrsystem 2. Styrning med LOGISKA FUNKTIONER

Läs mer

LOGIKSTYRNING/18/2: Laboration. 1 Uppgiften. Figur 1: Tågbanan

LOGIKSTYRNING/18/2: Laboration. 1 Uppgiften. Figur 1: Tågbanan LOGIKSTYRNING/18/2: Laboration Figur 1: Tågbanan 1 Uppgiften En programmerbar logik (PLC) skall programmeras för ett transportör-problem med en transportör (tåg) och ett antal stationer (se figur 1). Vid

Läs mer

Laboration 1 Styrteknik

Laboration 1 Styrteknik 090120/ Thomas Munther IDE-sektionen, Halmstad Högskola Laboration 1 Styrteknik Starta upp programmet GX IEC Developer ligger på skrivbordet eller alternativt under program. Gå sedan in under Project-

Läs mer

Swing-Gate. ECO B/S Installation av Swing Gate

Swing-Gate. ECO B/S Installation av Swing Gate Swing-Gate ECO B/S Installation av Swing Gate Generellt Nedanstående manual berör installation av motorer som omfattas av SKANDIMATIK`S produktprogram. Kontrollpanelen innehåller följande EU standarder:

Läs mer

DIGITALTEKNIK. Laboration D164. Logiska funktioner med mikroprocessor Kombinatoriska funktioner med PIC16F84 Sekvensfunktioner med PIC16F84

DIGITALTEKNIK. Laboration D164. Logiska funktioner med mikroprocessor Kombinatoriska funktioner med PIC16F84 Sekvensfunktioner med PIC16F84 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Björne Lindberg Håkan Joëlson 2007-11-22 v 2.3 DIGITALTEKNIK Laboration D164 Logiska funktioner med mikroprocessor Kombinatoriska funktioner

Läs mer

Excel Online Version 1.0 Skolkontoret

Excel Online Version 1.0 Skolkontoret Excel Online Version 1.0 Skolkontoret 1 Innehåll Vad är Excel?... 3 Arbeta i Excel Online... 4 Logga in... 4 Skapa en Excel-fil via OneDrive... 4 Öppna en redan skapad Excel-fil i Excel Online... 4 Byt

Läs mer

Programmering av ett industrikap med GRAPH 7 / Programming of an industrial cutting machine in GRAPH 7

Programmering av ett industrikap med GRAPH 7 / Programming of an industrial cutting machine in GRAPH 7 School of Mathematics and Systems Engineering Reports from MSI - Rapporter från MSI Programmering av ett industrikap med GRAPH 7 / Programming of an industrial cutting machine in GRAPH 7 Sep MSI Report

Läs mer

Utdrag ur larmlagen HANDHAVANDEMANUAL FÖR CENTRALAPPARAT. DS7090i och DS7090TMi

Utdrag ur larmlagen HANDHAVANDEMANUAL FÖR CENTRALAPPARAT. DS7090i och DS7090TMi Utdrag ur larmlagen Larminnehavarens åligganden 6 En larminnehavare är skyldig att iaktta vad som skäligen bör ankomma på honom för att motverka att anläggningen genom obefogat larm förorsakar onödigt

Läs mer

Twincat: PLC Control

Twincat: PLC Control Dokument Förklaring Dat. Revision KI-221-003-003 Kom igång med trukturerad Text 080402 1.0 Twincat: PLC Control Kom igång med Strukturerad Text (ST) programmering 1. Kod exempel. a. Exemplen som demonstreras

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #19 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Normaltillstånd vs undantagstillstånd I normaltillstånd

Läs mer

WAGO IO System Service Seminar. Diagnostik

WAGO IO System Service Seminar. Diagnostik WAGO IO System Service Seminar Diagnostik 1 Dioder på Controller Link 1/2 Ethernet Länk status Av - ingen ethernet anslutning grön - Ethernet anslutning blinkande grön - Ethernet anslutning skickar / tar

Läs mer

Laborationsrapport. Introduktion till elektroteknik och styrteknik ET1014. Givare och ställdon. Kurs. Lab nr 6. Version p1.0. Laborationens namn

Laborationsrapport. Introduktion till elektroteknik och styrteknik ET1014. Givare och ställdon. Kurs. Lab nr 6. Version p1.0. Laborationens namn Laborationsrapport Kurs Laborationens namn Introduktion till elektroteknik och styrteknik ET1014 Givare och ställdon Lab nr 6 Version p1.0 Namn Kommentarer Utförd den Godkänd den Sign 1 Givare och ställdon

Läs mer

Här hittar du ett exempel på ritprogrammet: https://scratch.mit.edu/projects/82515788/

Här hittar du ett exempel på ritprogrammet: https://scratch.mit.edu/projects/82515788/ Termin 1 Block 4 Ritprogram Nu kommer du att få skapa ett ritprogram där du sedan kan göra egna konstverk! Programmet låter dig rita med olika färgpennor, sudda med suddgummi och måla med stämplar som

Läs mer

Tentamen i Robotteknik MPR160, 16 december 2000

Tentamen i Robotteknik MPR160, 16 december 2000 Tenta i Robotteknik Z3 2000-12-16 1/6 Tentamen i Robotteknik MPR160, 16 december 2000 Lärare: Rolf Berlin ank 1286; 0707-99 24 89 Anders Boström ank 1526 Tillåtna hjälpmedel: Typgodkända kalkylatorer och

Läs mer

Lab nr Styrteknik ET1001 Givare och ställdon

Lab nr Styrteknik ET1001 Givare och ställdon Laborationsrapport Kurs Laborationens namn Styrteknik ET1001 Givare och ställdon Lab nr 4 Version 2.0 Namn Kommentarer Utförd den Godkänd den Sign 1 Givare och ställdon Inledning I denna laboration skall

Läs mer

Övervakning & Programspråk

Övervakning & Programspråk Övervakning & Programspråk Denna PowerPoint är gjord för att du ska få en inblick i vad ett driftövervakningssystem är. Vad kan man se? Olika tekniska funktioner? Fördelar? Även en inblick i hur man programmerar

Läs mer

INSTALLATIONS manual. Soliris Sensor RTS

INSTALLATIONS manual. Soliris Sensor RTS 1818199 110315 INSTALLATIONS manual SE Art.nr. 1818199 är en sol- & vindgivare för Somfy Altus RTS och Orea RTS motorer med inbyggd sol- & vindautomatik. Information om rådande sol- & vindförhållanden

Läs mer

Systemkonstruktion LABORATION REALTIDSPROGRAMMERING

Systemkonstruktion LABORATION REALTIDSPROGRAMMERING Systemkonstruktion LABORATION REALTIDSPROGRAMMERING Laborationsansvariga: Anders Arvidsson, Björn Lundblad Utskriftsdatum: 2002-10-31 Laboranter: 1 Syfte Denna laboration syftar till att öva användningen

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

Utdrag ur larmlagen HANDHAVANDEMANUAL FÖR CENTRALAPPARAT DS7100

Utdrag ur larmlagen HANDHAVANDEMANUAL FÖR CENTRALAPPARAT DS7100 Utdrag ur larmlagen Larminnehavarens åligganden 6 En larminnehavare är skyldig att iaktta vad som skäligen bör ankomma på honom för att motverka att anläggningen genom obefogat larm förorsakar onödigt

Läs mer

MINI-α PLUS VÄRMEPUMP / AIRCONDITION STYRNING FÖR EN ELLER TVÅ KOMPRESSORER

MINI-α PLUS VÄRMEPUMP / AIRCONDITION STYRNING FÖR EN ELLER TVÅ KOMPRESSORER MINI-α PLUS VÄRMEPUMP / AIRCONDITION STYRNING FÖR EN ELLER TVÅ KOMPRESSORER 1. Introduktion Mini Alfa Plus är en kompact (32x74mm) elektronisk övervakning för luftkonditioneringssystem baserade på en ensam

Läs mer

Ladderprogrammering steg för steg

Ladderprogrammering steg för steg Ladderprogrammering steg för steg En introduktion till LD-programmering för kursen MIE 012 Elektroteknikens Grunder vid LTH. Gunnar Lindstedt Introduktion Den dominerande typen av styrsystem för binära

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

Ladderprogrammering steg för steg

Ladderprogrammering steg för steg Ladderprogrammering steg för steg En introduktion till LD-programmering för kursen EIEF35 Elektroteknikens Grunder vid LTH. Gunnar Lindstedt Introduktion Den dominerande typen av styrsystem för binära

Läs mer

Introduktion till syntesverktyget Altera Max+PlusII

Introduktion till syntesverktyget Altera Max+PlusII Lunds Universitet LTH Ingenjörshögskolan Ida, IEA Helsingborg Laboration nr 5 i digitala system, ht-12 Introduktion till syntesverktyget Altera Max+PlusII Beskrivning i VHDL och realisering av några enkla

Läs mer

Styrteknik : Funktioner och funktionsblock

Styrteknik : Funktioner och funktionsblock PLC2A:1 Variabler och datatyper Allmänt om funktioner och funktionsblock Programmering av funktioner Programmering av funktionsblock PLC2A:2 Variabler i GX IEC Developer Global and Local Variables Variables

Läs mer

Information om motorvärmarstyrning

Information om motorvärmarstyrning Information om motorvärmarstyrning 1 Innehållsförteckning, sidnummer 1. Information om motorvärmarstyrning 2. Visningsbild 3. Inställning manuellt vid stolpen 4. Inställning via telefon 5. Inställning

Läs mer

Anfallslarm EPI-2000(-P) Bruksanvisning

Anfallslarm EPI-2000(-P) Bruksanvisning Anfallslarm EPI-2000(-P) Bruksanvisning 1 Beskrivning EPI-2000 är ett hjälpmedel för att påkalla hjälp för personer som drabbas av skakningar vid t ex ett generaliserat toniskt-kloniskt anfall (grand-mal)

Läs mer

2 / 3-axlig joystick med PWM-utgångar

2 / 3-axlig joystick med PWM-utgångar 2 / 3-axlig joystick BESKRIVNING JP är en 2 eller 3-axlig joystick, med möjlighet att styra upp till 6st dubbelverkande proportionalmagneter Utgångarna är proportionella mot joystickens rörelser Joystickens

Läs mer

Safe Logic Compact. Konfigurering av Rexroth säkerhets PLC. Snabbguide Svenska

Safe Logic Compact. Konfigurering av Rexroth säkerhets PLC. Snabbguide Svenska Safe Logic Compact Konfigurering av Rexroth säkerhets PLC Snabbguide 05.2013 Svenska The data specified only serve to describe the product. No statements concerning a certain condition or suitability for

Läs mer

El-styrningslåda EL B1

El-styrningslåda EL B1 Beskrivning: Elstyrning för 3 st drifter Flexskruvar Drift 1 Flexskruv dragande ifrån spannmålssilo till Faust mellanbehållare. Cellsluss Drift 2 Cellsluss ovanför mellanbehållare. Funktion: Cellslussen

Läs mer

Cloud. Manual. Version

Cloud. Manual. Version Cloud Manual Version 1.0 2016-10-31 Innehållsförteckning Introduktion... 3 Kom igång... 3 Skapa konto... 4 Navigering, vad är det du ser... 5 Användning... 6 Vad ser du när du INTE är ansluten... 6 Anslut

Läs mer

Hydrauliskt styrd kran

Hydrauliskt styrd kran LiU/IEI 2008-02-04 1 Laboration 4 Hydrauliskt styrd kran Laboration i kursen TMHP02-Fluidmekanisk Systemteknik för M3 LiU/IEI 2008-02-04 2 Inledning Syftet med laborationen är att studenten skall få känna

Läs mer

www.profort.dk Snabb vägledning för 9 modul enhet Montering GSM-enheten indvändigt (oversättelse, se bilaga) Spänning

www.profort.dk Snabb vägledning för 9 modul enhet Montering GSM-enheten indvändigt (oversättelse, se bilaga) Spänning Snabb vägledning för 9 modul enhet Montering 1. Förbered ett SIM-kort så att pinkoden är 1234 eller avaktiverad. Montera kortet i enheten som nu har 1234 som pinkod eller är helt utan pinkod. Kortet monteras

Läs mer

BAS-SMS RT Inkopplings exempel

BAS-SMS RT Inkopplings exempel BAS-SMS RT Inkopplings exempel Vers. 1.0 120410 Innehållsförteckning Anslutningar och mått 3 Anslutning av BAS-UPS R med larm vid spänningsbortfall 4-5 Larm till 3 mottagare med kvittering 6-7 Larm till

Läs mer

Elektriska Drivsystem Laboration 4 FREKVENSOMRIKTARE

Elektriska Drivsystem Laboration 4 FREKVENSOMRIKTARE Elektriska Drivsystem Laboration 4 FREKVENSOMRIKTARE Laborant: Datum: Medlaborant: Godkänd: Teori: Alfredsson, Elkraft, Kap 5 Förberedelseuppgifter Asynkronmotorn vi skall köra har märkdata 1,1 kw, 1410

Läs mer

MOTORVÄRMAR HANDBOKEN manual för hyresgäster

MOTORVÄRMAR HANDBOKEN manual för hyresgäster Version 3 MOTORVÄRMAR HANDBOKEN manual för hyresgäster ANVÄNDARMANUAL VIKTIG INFORMATION FÖR DIN PARKERINGSPLATS Platsnummer: Personlig kod: https://www.webel-online.se Telefon Sverige 075 44 88 000 Telefon

Läs mer