LABORATIONSINSTRUKTION

Storlek: px
Starta visningen från sidan:

Download "LABORATIONSINSTRUKTION"

Transkript

1 Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Introduktion till PLC-programmering KURS Styrteknik grundkurs ET1009 INNEHÅLL LAB NR 1 Ver PLC systemet FX1S 2. Introduktion till GX IEC Developer 3. Laborationskortet i styrteknik 4. Laborationsuppgifter Uppgift 1 Logisk 2 ingångars OCH-grind Uppgift 2 Logisk 3-ingångars OCH-grind med olika editorer Uppgift 3 Reläschema - logikschema Uppgift 4 Hållkretsar Uppgift 5 Booleska uttryck NAMN KOMMENTARER PROGRAM/KURS UTFÖRD GODKÄND SIGN

2 Laboration PLC1 Introduktion till PLC-programmering Innehåll: Syfte: Inledande studier av styrsystemet MELSEC FX1S-14MT-DSS och inledande programmering med programvaran GX IEC Developer. Att praktiskt få studera programmering av ett styrsystem och få kunskap om grafiska och textbaserade editorer. Att kunna programmera booleska uttryck med ladderschema och logikschema. Att få kunskap om olika typer av hållkretsar. 1. PLC-systemet FX1S Vid laborationen kommer ett styrsystem från Mitsubishi att användas. Styrsystemet har beteckningen MELSEC FX1S-14MT-DSS och det tillhör en grupp av kompakta PLCsystem, MELSEC FX, som är mycket vanliga på den nordiska marknaden. Inom MELSEC FX familjen finns för närvarande typerna FX1S, FX1N, FX2N och FX3U. En kort beskrivning av de olika MELSEC FX systemen visas i listan: FX3U max 384 in- och utgångar, många expansionsmoduler och specialmoduler. Exempel på specialmoduler är: Analoga I/O-moduler för bearbetning av ström/spänningssignaler, direktanslutning av Pt100-givare, termoelement. Positioneringsmoduler för servo- och stegmotorer, räknare för pulsgivare. Kommunikationsmoduler för RS232/RS422/RS485. Nätverksmoduler för PROFIBUS, Asi, CANopen, DeviceNet, Ethernet etc. Ytterligare data finns på Lab PLC pls

3 Styrsystemet MELSEC FX1S-14MT-DSS är monterat i en låda och alla in- och utgångar är lätt åtkomliga på ovansidan, se figuren: Figur 1: MELSEC FX1S 14MT På övre delen i figuren finns anslutningarna: Spänningsmatningen 24 V DC ( ) Skyddsjord Source/Sink (S/S), för val av ingångstyp X7 X0, 8 digitala ingångar På den nedre delen finns anslutningarna: +V2, +V1, +V0, 24 V spänningsmatning till utgångstransistorerna Y5 Y0, 6 digitala utgångar För att en insignal skall tolkas som en logisk etta krävs inspänningen 24 V och inströmmen 4.5 ma. Utsignalerna kommer från transistorer och ger 24 V och 0.5 A vid hög logisk nivå. Totalt kan utgångarna ge enbart 0.8 A. Både in- och utgångarna är skyddade med optokopplare. Vid laborationen är alla in- och utgångar anslutna till ett laborationskort, se avsnitt 3 i laborationshandledningen. 2. Introduktion till GX IEC Developer Styrsystemet MELSEC FX1S måste programmeras innan det kan användas och vid laborationen används programvaran GX IEC Developer, se informationen från företaget Beijer Electronics: GX IEC Developer är Mitsubishi Electrics mjukvara för effektiv programmering av styrsystem med kraftfulla struktureringsmöjligheter. Programmet stödjer den internationella standarden IEC och kraven enligt PLCopen. GX IEC Developer är en 32-bitars Windows mjukvara för programmering, simulering, monitorering, felsökning samt dokumentation av projekt för allt från små MELSEC FX-system till stora Q-system med flera centralenheter. Observera att programvaran: är avsedd för att programmera styrsystem från Mitsubishi även stöder den internationella standarden IEC Programvaran finns för närvarande installerad i laborationssalarna för elektroteknik på högskolan. Det går också bra att använda en demoversion av programvaran som kan hämtas från beijer.se. Standarden IEC är definierad av International Electrotechnical Commission (IEC) och den nuvarande versionen är från år Lab PLC pls

4 En mycket bra översikt av standarden IEC finns på Wikipedia: IEC är en standard för programspråk för PLC:n, som är något av en kompromiss mellan olika tillverkares egna språk. Den definierar 5 språk för programmering av PLC:n: Sequential Function Charts (SFC) Ladder Diagrams (LD) Instruction List (IL) Function Block Diagram (FBD) Structured Text (ST) Av dessa språk är tre grafiskt uppbyggda SFC, LD samt FBD. LD och FBD efterliknar de kretsscheman elektriker är vana vid reläscheman respektive ritningar för digital logik. SFC består av steg och övergångar, där varje steg representerar något arbetsmoment och övergången representerar det villkor som avgör när arbetsmomentet är avslutat, och är väl lämpat för det vanliga problemet att skapa en sekvens av arbetsmoment som ska följa i en viss ordning. ST och IL är bägge textbaserade språk. ST är ett språk som är ganska likt de traditionella högnivåspråken som C, Pascal med flera. IL liknar mer assembler, fast med andra instruktioner än assembler traditionellt har. Figurerna visar exempel på de 5 olika typerna av programspråk enligt IEC Figur 2: Programspråk enligt IEC Sequential Function Charts, SFC Figur 3: Sekvensprogrammering Lab PLC pls

5 Med programvaran GX IEC Developer kan man välja mellan sex olika editorer för de olika programspråken: 3 text editorer IEC Instruction List MELSEC Instruction List Structured Text 3 grafiska editorer Ladder diagram Function Block Diagram Sequential Function Chart Den andra editorn, MELSEC använder en instruktionslista som är Mitsubishis egen, de övriga följer IEC-standarden. På kurswebben finns en manual som beskriver programvaran (GX IEC Developer 7.00, Beginners Manual) Vilken av editorerna man använder är inte speciellt viktigt när man lär sig grunderna i PLCprogrammering. I E-läroboken enligt kursplanen finns exempel på alla programspråken. Många exempel är baserade på ladderdiagram som förmodligen är det vanligaste programspråket för närvarande. Observera att de olika programvarianterna översätts till de instruktionerna som styrsystemet förstår. När vi använder MELSEC FX1S så är det bara MELSEC Instruction List som är användbar internt i styrutrustningen. Ett ladderdiagram är uppbyggt på ett strukturerar sätt och är ganska lätt att förstå. Principen är att man skriver programmet med rader som består av antal reläkontakter och en reläspole som utgång. Varje sådan rad kan med lite fantasi föreställa en stegpinne (rung) och hela programmet en stege. När programmet exekveras sker det på samma sätt som när man använder en vanlig stege, d.v.s. programmet exekverar varje stegpinne i tur och ordning tills hela programmet är klart. Ordet ladderdiagram kan översättas till svenska med stegdiagram, stegschema eller reläschema. Ett ladderdiagram har en del uppenbara nackdelar: små möjligheter till hierarkisk uppdelning av stora program begränsade möjligheter till att skapa komplexa sekvenser dålig hantering av tal och aritmetiska operationer En fördel med ladderdiagram är det liknar de gamla reläritningarna och är enkla att förstå för olika personalkategorier. Ofta kan man blanda ladderdiagram med funktionsblock av olika komplexitet för att göra programmet mer lättläst. Observera att ett ladderdiagram är ett strukturerat sätt att rita reläschema jfr reläschemat för en vanlig personbil! Lab PLC pls

6 3. Laborationskortet i styrteknik Laborationsutrustnngen består av en PLC, MELSEC FX1S-14MT-DSS, och ett laborationskort som är monterat på en platta. Till laborationskortet ansluts matningsspänningen 24 V DC via en vanlig batterieliminator. Laborationskortet är uppdelat två delar, trafik och hiss. Vilken av delarna som ska användas väljs med byglingar på LIST1 och LIST2 på kretskortet, se figuren nedan. Figur 4: Laborationskortet med PLC-systemet I manualerna till styrsystemet MELSEC FX1S betecknas in- och utgångarna med: X0 till X7 8 stycken ingångar Y0 till Y5 6 stycken utgångar Normalt är det bättre att använda symboliska namn och tabellerna nedan visar förslag till namn för ingångarna respektive utgångarna. INGÅNGAR: Kortet byglat för hiss Kortet byglat för trafik Ingång Symb. namn Ingång Symb. namn X0 BTN_VAN1 X0 SW_DGV X1 BTN_VAN2 X1 SW_DGO X2 BTN_VAN3 X2 SW_KGS X3 BTN_NOD X3 SW_KGN X4 SENS_VAN1 X4 BTN_DGOG X5 SENS_VAN2 X5 BTN_DGVG X6 SENS_VAN3 X6 BTN_KGSG X7 RUN X7 BTN_KGNG UTGÅNGAR: Kortet byglat för hiss Kortet byglat för trafik Utgång Symb. namn Ingång Symb. namn Y0 LED1_GUL Y0 LEDKG_RED Y1 LED2_GUL Y1 LEDKG_GUL Y2 LED3_GUL Y2 LEDKG_GREEN Y3 LEDV3_GREEN Y3 LEDDG_RED Y4 LEDV2_GREEN Y4 LEDDG_GUL Y5 LEDNOD_RED Y5 LEDDG_GREEN Lab PLC pls

7 Utöver in och utgångar finns det i alla PLC-system ett antal interna register som man måste känna till. De vanligaste är minnesceller (M), timerfunktioner (T), räknarfunktioner (C) och dataregister (D). Tabellen nedan visar vad som finns i MELSEC FX1S: M M0 till M minnesceller M M384 till M minnesceller, permanenta (retentiva) T T0 till T ms timer, utsignal efter 0.1 till sek T T32 till T62 10 ms timer, om spec. minne M8028 = 1 T T63 1 ms timer, utsignal efter till sek C C0 till C15 16 bitars räknare C C16 till C31 16 bitars räknare, retentiva C C235 till C bitars high speed counter D D0 till D127 Nollställs när PLC stoppas/spänning från D D128 till D255 Behåller informationen (latch) Mer data om in- och utgångar och olika typer av register finns i kapitel 4 i manualen MELSEC FX Family. När man skriver program kan man ange vilket register som ska användas och för en del maskinnära instruktioner är det praktiskt att göra så. Vanligtvis använder man registren i olika funktioner eller funktionsblock och då får programvaran styra vilka register som används. Vilka register som får användas av användaren respektive programvaran bestäms med menyalternativet Extras/Options/System Variables, se sidan 10 i kompendiet. Lab PLC pls

8 4. Laborationsuppgifter Laborationsuppgifterna ger en introduktion till programvaran GX IEC Developer. Texten ger förhoppningsvis alla grundläggande kommandon som behövs för att skriva enkla program. Vid behov kan manualen GX IEC Developer Beginner s Manual vara till hjälp. Mycket kortfattat gäller att programvaran GX IEC Developer styrs med menykommandon och att man arbetar med ett User Interface som består av: Meny bar och Tool bar högst upp på bildskärmen Project Navigator som bestämmer vilket objekt som ska behandlas Work space där det valda objektet kan editeras Innan programvaran startas bör man definiera var projektet ska sparas: Skapa foldern styrteknik\program\lab1\uppgift1 på din användare Uppgift 1 Logisk 2 ingångars OCH-grind Programvaran kan startas genom att: Starta GX IEC Developer med Start/Programs eller på annat sätt Välj Project/New och välj PLC series FX, PLC type FX1S Skapa en Project Path till projektet med sökvägen styrteknik\program\lab1\uppgift1 Observera att GX IEC Developer skapar en ny underkatalog för varje nytt projekt. Välj Ladder Diagram i rutan New Project Startup Options Programmet startar editeringsfönstret för ett Ladder diagram i Work Space. Vänta med att använda det. Dubbelklicka på Global_Vars i Project Navigator. Programmet visar en tom Global Variabel List (GVL), skriv in variablerna för laborationskortets trafik enligt nedan: Figur 5: Globala variabler för trafikljus på labkortet Nya rader erhålles i GVL med Shift/Enter Kontrollera att syntaxen är riktig med ikonen för Check innan du fortsätter Högerklicka på Global_Vars i Project Navigator och välj alternativet Export Spara variabellistan under ett valfritt namn. (Den exporterade variabellistan kommer att vara åtkomlig med Import från andra projekt.) Programmera en logisk OCH-grind med hjälp av Ladder Diagram och de globala variablerna. Konstruktionen skall bli: Figur 6: Och-grind med reläschema ladder diagram Konstruktionen består av bara ett Network och beskriver en OCH-grind. Kontrollera att syntaxen är riktig med Check Överför programmet till en PLC med Download Project och testa funktionen. Observera att byglarna måste vara i läget trafik på laborationskortet. Demonstrera funktionen för valfri person, ingen annan redovisning behövs. Lab PLC pls

9 Uppgift 2 Logisk 3-ingångars OCH-grind med olika editorer Uppgift 2a Skapa ett nytt projekt med Project New och välj PLC series FX, PLC type FX1S Skapa en Project Path till projektet med sökvägen styrteknik\program\lab1\uppgift2 Välj Empty Project i rutan New Project Startup Options Högerklicka på POU_Pool och välj alternativet New POU/Ladderdiagram namge den nya POU:n till uppgift2a POU är en så kallad Program Organisation Unit. Varje POU är ett komplett program som kan överföras till styrsystemet. Ett normalt program består av flera POU:er och de är praktiska att använda för att strukturera programmet. Högerklicka på Global_Vars och välj Import/Global Variables. Sök reda på den globala variabellistan från uppgift 1 och importera den till projektet. Under POU_Pool finns den nya enheten uppgift2a. Dubbelklicka på Body [LD] och notera att ett ladderschema öppnas i editeringsarean Work Space Editera en 3-ingångars OCH-grind enligt nedanstående: Figur 7: Ladder Diagram med kommentarer Kommentaren kan skrivas med: Tools/Network Elements/Comment eller med Ctrl/M. OBS gör rutan tillräckligt stor, byt textrad med Ctrl/Enter. Kompilera programmet med Build-ikonen och rätta eventuella fel. Högerklicka på Task_Pool, välj New Task, namnge till Main_uppg2 Dubbelklicka på Main_uppg2 och sök reda på uppgift2a, dvs den POU som innehåller OCH-grinden med 3 ingångar. Överför programmet till en PLC med Download Project och testa funktionen. Uppgift 2b Högerklicka på POU_Pool och välj alternativet New POU/Function Block Diagram namge den nya POU:n till uppgift2b Under POU_Pool finns den nya enheten uppgift2b. Dubbelklicka på Body [FBD] och notera att editeringsarean öppnas för ett Function Block Diagram. Ett FBDschema består av logiska komponenter, grindar, och är enkelt att använda. Editera en 3-ingångars OCH-grind enligt nedanstående: Figur 8: Function Block Diagram med kommentarer Grindsymbolerna finns under ikonen Function Block eller med Ctrl/F, In- och utgångar finns under ikoner eller anges med siffrorna 9 respektive 0. Skriv kommentarer på samma sätt som i uppgift2a. Dubbelklicka på Main_uppg2 och sök reda på uppgift2b, dvs den POU som innehåller den nya OCH-grinden med 3 ingångar. Överför programmet till en PLC med Download Project och testa funktionen. Lab PLC pls

10 Uppgift 2c Högerklicka på POU_Pool och välj alternativet New POU/MELSEC Instruction List namge den nya POU:n till uppgift2c Under POU_Pool finns den nya enheten uppgift2c. Dubbelklicka på Body [MELSEC IL] och notera att editeringsarean öppnas för editering av MELSEC Instruction List. Kommandon för MELSEC Instruction List finns beskrivna i kapitel 6 i kompendiet. Editera en 3-ingångars OCH-grind enligt nedanstående: Figur 9: MELSEC Instruction List Dubbelklicka på Task Pool/ Main_uppg2 och lägg in den nya POU: uppgift2c Överför programmet till en PLC med Download Project och testa funktionen. Uppgift 2d Högerklicka på POU_Pool och välj alternativet New POU/ Instruction List namge den nya POU:n till uppgift2d Under POU_Pool finns den nya enheten uppgift2d. Dubbelklicka på Body [IL] och notera att editeringsarean öppnas för editering av Instruction LIST. Observera att det finns två ikoner för List Operators och List Operands. Editera en 3-ingångars OCH-grind enligt nedanstående: Figur 10: IEC Instruction List Dubbelklicka på Task Pool/ Main_uppg2 och lägg in den nya POU: uppgift2d Överför programmet till en PLC med Download Project och testa funktionen. Figuren nedan visar ett exempel med tre av de olika editorerna: Figur 11: Exempel med olika editorer Lab PLC pls

11 Uppgift 2e Högerklicka på POU_Pool och välj alternativet New POU/ StructuredTtext namge den nya POU:n till uppgift2e Under POU_Pool finns den nya enheten uppgift2e. Dubbelklicka på Body [ST] och notera att editeringsarean öppnas för editering av Instruction LIST. Observera att det finns två ikoner för List Operators och List Operands. Editera en 3-ingångars OCH-grind enligt nedanstående: (*3-ingångars OCH-grind Editot: IEC ST (Structured Text) Name: *) LEDKG_RED:=BTN_DGVG AND SW_KGS AND BTN_KGSG; LEDKG_GREEN:=LEDKG_RED; LEDKG_GUL:=LEDKG_RED; Dubbelklicka på Task Pool/ Main_uppg2 och lägg in den nya POU: uppgift2d Överför programmet till en PLC med Download Project och testa funktionen. Uppgift 2ö Normalt består en TASK av flera POU:er och det är mycket lätt att göra det. Antag att man vill utföra uppgift2a och uppgift2b i samma task. Funktionen kommer i så fall att bli båda POU:erna,dvs: Uppgift 2a: uppgift 2b: Figur 12: Program med två POU:er Dubbelklicka på Task Pool/ Main_uppg2 och lägg in POU: uppgift2a Markera den första POU-raden och tryck Shift/Enter. Om allt fungerar visas en till rad där nästa POU kan läggas in. Lägg in POU: uppgift 2b på den nya raden Kompilera och överför programmet till en PLC med Download Project och testa funktionen. REDOVISNING av hela uppgift 2: Markera översta raden i Project Navigator, kontrollera utskriften med Print Preview. Justera utskriften med Print Options så att: Right Footer innehåller ditt namn och Högskolan Dalarna på två rader. Utskriften även visar din globala variabellista. Annat som du vill ha med i utskriften Skriv därefter ut rapporten på en fil i pdf-format (med cute pdf writer eller liknande) och spara den för redovisning när hela laborationen är klar. Samtliga kursdeltagare skall lämna in en egen rapport. Lab PLC pls

12 Uppgift 3 Reläschema - logikschema Skapa ett nytt projekt med Project New och välj PLC series FX, PLC type FX1S Skapa en Project Path till projektet med sökvägen styrteknik\program\lab1\uppgift3 Välj Empty Project i rutan New Project Startup Options Högerklicka på Global_Vars och välj Import/Global Variables. Sök reda på den globala variabellistan från uppgift 1 och importera den till projektet. Uppgift 3a Skapa en POU med valfritt namn för ett ladderdiagram som har samma funktion som logikschemat i figuren. Skriv kommentarer till uppgiften på samma sätt som i uppgift 2. Figur 13: AND-OR nät med logikschema Vilken typ av schema man ska använda är inte speciellt viktigt. Man ska känna till de olika metoderna och troligen kommer textbaserad inmatning av typ IEC Instruction List att bli vanligare i framtiden. Uppgift 3b Skapa en ny POU med valfritt namn för ett logikschema som har samma funktion som ladderdiagrammet i figuren. Använd t.ex. vippströmställarna som finns på trafikdelen. Tänd alla Gula Lysdioder som utsignal. Prova kommandot View/View Mode/Mitsubishi Address för att se vilka X- respektive Y-värden som används. Skriv kommentarer till uppgiften på samma sätt som i uppgift 2. Figur 14: OR-AND-nät med reläschema REDOVISNING av uppgift 3: Markera översta raden i Project Navigator, kontrollera utskriften med Print Preview, justera med Print Options och skriv ut rapporten på samma sätt som i uppgift 2. Samtliga skall lämna in en egen rapport. Lab PLC pls

13 Uppgift 4 Hållkretsar, teori Figuren nedan visar en utrustning som styrs av en återfjädrande tryckknapp. Insignalen kan tolkas så att utrustningen är: till så länge tryckknappen är nedtryck (logiskt styrd) till efter att knappen har tryckts ned (händelsestyrd) Den andra funktionen, händelsestyrd, innebär att utrustningen måste ha ett minne som kommer ihåg att knappen har tryckts ned. I utrustningen måste det finnas en minnesfunktion eller hållkrets som kommer ihåg detta. För att återställa utrustningen krävs någon typ av resetsignal. Figur 15: An event driven device (AMS, kapitel 9.1) I konstruktioner med PLC:er används ofta händelsestyrda signaler enligt beskrivningen ovan. För t.ex. relästyrningar användes tidigare hållkretsar med s.k. självhållning, som innebär att en av reläkontakterna används för att hålla kvar strömmen till reläspolen. Ett annat sätt är att använda reläer som har en Set-ingång (S) och en Reset-ingång (R). Båda metoderna visas i figurerna nedan. För ett digitalschema är det naturligt att använda en latch av RS-typ. Ingången S respektive R erhålls med två vänsterklick på reläspolen. Figur 16: Hållkrets med självhållning respektive RS-funktion Signalen X1 i den vänstra kopplingen är markerad med ett snett streck (/) i symbolen. Strecket är en symbol från relätekniken och innebär att (relä)kontakten X1 är normalt sluten. Om X1 kommer från en ingång betyder strecket att signalen har det inverterade värdet av det logiska värdet på ingången X1. Ingångarna kan logiskt vara av två olika typer: Aktivt hög ingång, ett indikerar att ingången har aktiverats Aktivt låg ingång, noll indikerar att ingången har aktiverats Om X1 är en ingång av typen aktivt låg så ska kontakten i hållkretsen inte vara inverterad med strecket i symbolen. Lab PLC pls

14 Om en signal har aktivt hög/aktivt låg nivå bör det framgå av namnet. Om det t.ex. finns en signal som ska stoppa en utrustning kan namnet vara STOP om den är aktivt hög STOPN om den är aktivt låg. Exempel på aktivt låga ingångar är läsgafflarna på laborationskortet. För att testa hållkretsar ska hissidan av laborationskortet användas. Byglingarna måste då ändras och nya symboliska namn måste anges. Uppgift 4a Hållkretsar olika metoder Skapa ett nytt projekt med Project New och välj PLC series FX, PLC type FX1S Skapa en Project Path till projektet med sökvägen styrteknik\program\lab1\uppgift4 Välj Empty Project i rutan New Project Startup Options Dubbelklicka på Global_Vars i Project Navigator. Programmet visar en tom Global Variabel List (GVL), skriv in variablerna för laborationskortets hiss enligt sidan 5 och sidan 7 i laborationshandledningen: Högerklicka på Global_Vars i Project Navigator och välj alternativet Export Spara variabellistan under ett valfritt namn. (Den exporterade variabellistan kommer att vara åtkomlig med Import från andra projekt.) Flytta alla byglar på LIST1 och LIST2 så att de kopplar in sidan med in- och utsignaler till hissen Skriv POU:er för nedanstående hållkretsar Skriv en task som innehåller alla POU:erna och testa funktionen Vilken variant av hållkrets man ska använda spelar egentligen ingen roll. En vanlig variant är att man använder reläkontakter och funktionsblock i samma ladderdiagram enligt den nedersta figuren. Lab PLC pls

15 Uppgift 4b Olika typer av minnesceller I PLC:n FX1S finns 512 enbitars minnesceller, M0 till M511, som kan användas för att lagra binära signaler. PLC:n använder minnescellerna internt i många olika tillämpningar och har reserverat M256 till M511 för eget bruk. Hälften av dessa, M384 till M511, är av en speciell typ, permanenta minnesceller, som behåller sitt värde även efter ett strömavbrott. Minnescellerna av den typen används för t.ex. retentiva räknare och sekvensstyrningar. Ibland kan det vara bra att själv kunna använda de permanenta minnena för t.ex. hållkretsar som ska behålla sin hållfunktion även efter strämavbrott eller om PLC:n har stängts av. I detta avsnitt ska därför några av hållkretsarna från föregående avsnitt modifieras så att de behåller sitt minne om PLC:n stängs av. För att komma åt de permanenta minnena måste PLC:ns interna minnesområde begränsas. Ändra Bit Range från till i Extras/Options/SystemVariables. De pertmanenta minnescellerna 501 till 511 kan nu användas för eget bruk. Skapa en hållkrets med permanent minne enligt figuren nedan. Observera att den statiska minnescellen har ett förklarande namn, STAT_M1 som måste definieras i den globala variabellistan till projektet. Adressen skrivs in med värdet M501 i adressfältet MIT-Addr. Testa hållkretsen och övertyga dig om att den behåller minnet om PLC:n stängs av. REDOVISNING av uppgift 4: Markera översta raden i Project Navigator, kontrollera utskriften med Print Preview, justera med Print Options och skriv ut rapporten på samma sätt som i uppgift 3. Samtliga skall lämna in en egen rapport. Uppgift 5 Booleska uttryck (frivillig uppgift) Visa hur den booleska funktionen f ( a, b, c, d, e) = a + b ( c + d + e) + a b c kan realiseras med Ladder Diagram respektive ett Function Block Diagram. Gör en POU för vardera lösningen. Använd hiss-sidan och definiera a=>x0, b=>x1,.e=>x4, f=>y0. Programmera, testa med monitorn och dokumentera lösningen på samma sätt som de tidigare uppgifterna. Redovisa uppgiften på samma sätt som de tidigare uppgifterna. Lab PLC pls

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Introduktion till PLC-programmering KURS ETB016 Styrteknik LAB NR PLC 1 INNEHÅLL 1. PLC systemet FX1S 2. Introduktion till GX IEC Developer

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Introduktion till PLC-programmering KURS Styrteknik ET1001, ET1007, ET1009 LAB NR 1 INNEHÅLL 1. PLC systemet FX1S 2. Introduktion till

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION PLC-styrning av ett minimalt parkeringsgarage KURS El- och styrteknik för tekniker ET 1015 INNEHÅLL LAB NR 4 Ver 1.0 1. Inledning 2. Laborationskortet

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Trafikljus med SFC-programmering KURS El- och styrteknik för tekniker ET1015 INNEHÅLL LAB NR PLC 5 Ver 1.0 1. Inledning 2. Laborationskortet

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION KURS ET1001 Styrteknik LAB NR PLC 5 INNEHÅLL 1. Inledning 2. Laborationskortet i styrteknik 3. Laborationsuppgifter NAMN KOMMENTARER PROGRAM/KURS

Läs mer

Sekvensstyrning Grafcet och IEC

Sekvensstyrning Grafcet och IEC Sekvensstyrning Grafcet och IEC 61131-3 Indtroduktion GRAFCET Tekniken grundades i Frankrike på 1970-talet och ligger till grund för ett standardiserat programspråk i enlighet med standard IEC 61131-3.

Läs mer

Styrteknik : Programmering med IEC 61131-3. Styrteknik

Styrteknik : Programmering med IEC 61131-3. Styrteknik PLC1B:1 Styrteknik Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner PLC1B:2 PLC står för Programmable Logical Controller Kom

Läs mer

Programmerbar logik. Kapitel 4

Programmerbar logik. Kapitel 4 Kapitel 4 Programmerbar logik Programmerbar logik (PLC: Programmable Logic Controller; fi. ohjelmoitava logiikka) är en sorts mikrodatorliknande instrument som är speciellt avsedda för logik- och sekvensstyrningsproblem.

Läs mer

Styrteknik: MELSEC FX och numeriska värden

Styrteknik: MELSEC FX och numeriska värden PLC2C:1 MELSEC FX I kursen styrteknik används styrsystemet FX1S som är ett litet system i MELSEC FX-serien. Vår version av FX1S har endast digitala in- och utgångar men oftast finns det både digitala och

Läs mer

Laboration 1 Styrteknik

Laboration 1 Styrteknik 090120/ Thomas Munther IDE-sektionen, Halmstad Högskola Laboration 1 Styrteknik Starta upp programmet GX IEC Developer ligger på skrivbordet eller alternativt under program. Gå sedan in under Project-

Läs mer

Automation Laboration: Överföring över PROFIBUS

Automation Laboration: Överföring över PROFIBUS Automation Laboration: Överföring över PROFIBUS Inledning Sedan slutet av 80-talet har kommunikationssystemet PROFIBUS utvecklats och ökat i användning inom industrin. PROFIBUS utgör ett exempel på ett

Läs mer

Programmerbara styrsystem

Programmerbara styrsystem Styrteknik ETB016 Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner Programmerbara styrsystem PLC står för Programmable Logical

Läs mer

1 Strömmen I skall mätas med en amperemeter. Amperemetern är inställd på området 30 ma. Vad kommer amperemetern att visa?

1 Strömmen I skall mätas med en amperemeter. Amperemetern är inställd på området 30 ma. Vad kommer amperemetern att visa? Högskolan Dalarna Sida 1 av 11 Elektroteknik Per Liljas/Lars-Erik Cederlöf Tentamen i ET1014 Introduktion till elektroteknik och styrteknik 7.5 hp 2011-08-22 9.00-13.00, Sal Sxxx Hjälpmedel: Miniräknare,

Läs mer

GX IEC Developer Sekvensstyrning och SFC-editor

GX IEC Developer Sekvensstyrning och SFC-editor GX IEC Developer Sekvensstyrning och SFC-editor 1 Vad är en sekvens? STEG0 START STEG1 STEG2 STEG3 UTMATARE SENS_UTMAT UTMATARE SENS_UTMAT KORG_NER SENS_VÅN1 Ett sekvensprogram används i PLC-systemet när

Läs mer

Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas

Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas Tentamen i ET1014 Introduktion till elektroteknik och styrteknik 7.5 hp 2012-08-22 14.00-18.00, Sal Sxxx Hjälpmedel: Miniräknare, formelblad (bifogad)

Läs mer

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1 Styrteknik Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner

Läs mer

Ladderprogrammering steg för steg

Ladderprogrammering steg för steg Ladderprogrammering steg för steg En introduktion till LD-programmering för kursen MIE 012 Elektroteknikens Grunder vid LTH. Gunnar Lindstedt Introduktion Den dominerande typen av styrsystem för binära

Läs mer

LABORATIONER I STYRTEKNIK. Grundläggande PLC- programmering

LABORATIONER I STYRTEKNIK. Grundläggande PLC- programmering 1 LABORATIONER I STYRTEKNIK LABORATION : Grundläggande PLC- programmering 2 Övning 1. Rita ur reläschemat nedan ett ladderschema i GX IEC Developer. Kör programmet och prova funktionen med hjälp av ingångssimulatorn.

Läs mer

Styrteknik 4.5/7.5 hp distans: Tidskretsar, räknare

Styrteknik 4.5/7.5 hp distans: Tidskretsar, räknare PLC4B:1 Olika exempel med Timers och Counters En Enkel Timer med MELSEC IL Funktion: LD BTN_DGVG OUT T1 K50 LD T1 OUT LEDKG_RED Om BTN_DGVG trycks ned och hålls nedtryckt: => LEDKG_red = 1 efter 5.0 sek

Läs mer

Styrteknik : Funktioner och funktionsblock

Styrteknik : Funktioner och funktionsblock PLC2A:1 Variabler och datatyper Allmänt om funktioner och funktionsblock Programmering av funktioner Programmering av funktionsblock PLC2A:2 Variabler i GX IEC Developer Global and Local Variables Variables

Läs mer

Detta dokument beskriver enbart konfigurering av FX3U-ENET för att programmera/monitorera via Ethernet.

Detta dokument beskriver enbart konfigurering av FX3U-ENET för att programmera/monitorera via Ethernet. FX1S FX1N FX2N(C) FX3U(C) 1 Funktion och användningsområde Genom att använda FX3U-ENET kan man kommunicera med ett FX3U-system via Ethernet. Kommunikationsmodulen stödjer funktioner som överföring av PLC-program,

Läs mer

Introduktion till syntesverktyget Altera Max+PlusII

Introduktion till syntesverktyget Altera Max+PlusII Lunds Universitet LTH Ingenjörshögskolan Ida, IEA Helsingborg Laboration nr 5 i digitala system, ht-12 Introduktion till syntesverktyget Altera Max+PlusII Beskrivning i VHDL och realisering av några enkla

Läs mer

Programmering av ett industrikap med GRAPH 7 / Programming of an industrial cutting machine in GRAPH 7

Programmering av ett industrikap med GRAPH 7 / Programming of an industrial cutting machine in GRAPH 7 School of Mathematics and Systems Engineering Reports from MSI - Rapporter från MSI Programmering av ett industrikap med GRAPH 7 / Programming of an industrial cutting machine in GRAPH 7 Sep MSI Report

Läs mer

Ladderprogrammering steg för steg

Ladderprogrammering steg för steg Ladderprogrammering steg för steg En introduktion till LD-programmering för kursen EIEF35 Elektroteknikens Grunder vid LTH. Gunnar Lindstedt Introduktion Den dominerande typen av styrsystem för binära

Läs mer

Styrsystem. SoMachine TM241 / 251. Kom-igång med SoMachine projekt. Skapad: Rev

Styrsystem. SoMachine TM241 / 251. Kom-igång med SoMachine projekt. Skapad: Rev Styrsystem SoMachine TM241 / 251 Kom-igång med SoMachine projekt. Skapad: 2016-07-15 Rev. 2018-01-10 INNEHÅLLSFÖRTECKNING 1 SO MACHINE INLEDNING... 3 2 SKAPA APPLIKATION... 3 2.1.1 Hårdvara... 3 2.1.2

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Institutionen för Elektroteknik LABORATION LABORATIONSINSTRUKTION LOG/iC, PLD, kombinatorik, sekvensnät KURS Digitalteknik LAB NR 6 INNEHÅLL. Inledning 2. Prioritetskodare 3. Elektronisk

Läs mer

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler Exempeluppgift i Logikstyrning Inledning Idén med detta papper är att ge en allmän beskrivning av labbutrustningen och tips för hur man kan lösa olika praktiska problem i samband med laborationen. Läs

Läs mer

Styrteknik: Tidskretsar, räknare

Styrteknik: Tidskretsar, räknare PLC4A:1 Timer: Tidtagare, automatisk till- eller frånkoppling. Timer för PLC (Tidkrets) En tidsstyrd ett- eller noll-ställning av en utgång/minnescell. Tidsstyrningen kan vara tillslagsfördröjd (on delay

Läs mer

Styrteknik 7.5 hp distans: SFC med GX IEC

Styrteknik 7.5 hp distans: SFC med GX IEC SFC_B:1 SFC, Sequential Function Chart Language, är ett av de 5 programmeringsspråken som stöds av IEC 61131-3 standarden. SFC finns med i GX IEC Developer. Ett SFC program sparas i en POU som de övriga

Läs mer

Övervakning & Programspråk

Övervakning & Programspråk Övervakning & Programspråk Denna PowerPoint är gjord för att du ska få en inblick i vad ett driftövervakningssystem är. Vad kan man se? Olika tekniska funktioner? Fördelar? Även en inblick i hur man programmerar

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning... UMEÅ UNIVERSITET Tillämpad fysik och elektronik 2014 John Berge et al. DIGITAL ELEKTRONIK Laboration DE3 VHDL 1 Namn... Personnummer... Epost-adress... Datum för inlämning... Introduktion Syftet med denna

Läs mer

Den här texten ska förhoppningsvis underlätta en del av anpassningarna. Det kan säkert finnas en del fel och annat tok.

Den här texten ska förhoppningsvis underlätta en del av anpassningarna. Det kan säkert finnas en del fel och annat tok. Ver Okt 2011/pls Windows7, GX-IEC Developer, USB-adapter I olika kurser i styrteknik på Högskolan Dalarna används ett styrsystem från Mitsubishi och programvaran GX-IEC Developer. Kurserna går på distans

Läs mer

*1 Alternativ lösning Serial/IP COM Port Redirector v4.8 from tacticalsoftware.com För mer info se

*1 Alternativ lösning Serial/IP COM Port Redirector v4.8 from tacticalsoftware.com För mer info se Ethernetkommunikation med FX-serien, FX2NC-ENET-ADP KI00206B 2010-03 FX1S FX1N FX2N(C) FX3U(C) 1 Funktion och användningsområde Med hjälp av Ethernetmodulen FX2NC-ENET-ADP kan man kommunicera med MELSEC

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2017 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D Linköpings universitet SE-581 83

Läs mer

SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR

SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR 2 Projekt mikrobasic PRO for AVR organiserar applikationer som projekt vilka består av en enda projektfil (med filändelsen.mbpav) och en eller flera

Läs mer

Kortlaboration DIK. Digitalteknik, kombinatorik.

Kortlaboration DIK. Digitalteknik, kombinatorik. MMK, KTH Kortlaborationer 1 Kortlaboration DIK Digitalteknik, kombinatorik. I denna laboration bekantar vi oss med datorprogrammet LabVIEW. Programmet har blivit något av en industristandard för att automatisera

Läs mer

Allt om datalogging och datakommunikation!

Allt om datalogging och datakommunikation! PLC8D:1 Allt om datalogging och datakommunikation! Data Logging Data Logging, HMI Tools File Transfer Elementär datakommunikation Elementär datakommunikation, E-Designer och Ethernet PLC8D:2 Data Logging

Läs mer

Styrsystem. SoMachine TM241 / 251. Kom-igång med SoMachine projekt. Skapad: Rev

Styrsystem. SoMachine TM241 / 251. Kom-igång med SoMachine projekt. Skapad: Rev Styrsystem SoMachine TM241 / 251 Kom-igång med SoMachine projekt. Skapad: 2016-07-15 Rev. 2018-05-30 INNEHÅLLSFÖRTECKNING 1 SO MACHINE INLEDNING... 4 2 SKAPA APPLIKATION... 4 2.1.1 Hårdvara... 4 2.1.2

Läs mer

Paneler - VCPXX.2. Programmeringsmanual för VCP-paneler. Revision 2

Paneler - VCPXX.2. Programmeringsmanual för VCP-paneler. Revision 2 Paneler - VCPXX.2 Programmeringsmanual för VCP-paneler Revision 2 Innehållsförteckning Innehållsförteckning... 2 1 Symbolfiler för kommunikation via IndraLogic... 3 2 Uppsättning i IndraWorks... 6 3 Programmering

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

LOGIKSTYRNING/18/2: Laboration. 1 Uppgiften. Figur 1: Tågbanan

LOGIKSTYRNING/18/2: Laboration. 1 Uppgiften. Figur 1: Tågbanan LOGIKSTYRNING/18/2: Laboration Figur 1: Tågbanan 1 Uppgiften En programmerbar logik (PLC) skall programmeras för ett transportör-problem med en transportör (tåg) och ett antal stationer (se figur 1). Vid

Läs mer

OMRON. PLC till PLC kommunikation (CP1L-E) Ethernet. 22 april 2014 OMRON Corporation

OMRON. PLC till PLC kommunikation (CP1L-E) Ethernet. 22 april 2014 OMRON Corporation Ethernet 22 april 2014 OMRON Corporation 2/16 Läs detta innan du bläddrar vidare OMRON Denna bok är avsedd som ett tillägg till de ursprungliga manualerna för Omrons produkter. Använd den som en hjälp

Läs mer

Övervakning & Programspråk

Övervakning & Programspråk Övervakning & Programspråk Denna PowerPoint är gjord för att du ska få en inblick i vad ett driftövervakningssystem är. Vad kan man se? Olika tekniska funktioner? Fördelar? Även en inblick i hur man programmerar

Läs mer

IE1204/IE1205 Digital Design

IE1204/IE1205 Digital Design TENTAMEN IE1204/IE1205 Digital Design 2012-12-13, 09.00-13.00 Inga hjälpmedel är tillåtna! Hjälpmedel Tentamen består av tre delar med sammanlagd tolv uppgifter, och totalt 30 poäng. Del A1 (Analys) innehåller

Läs mer

CoDeSys 2.3.x.x Svensk installationsguide

CoDeSys 2.3.x.x Svensk installationsguide CoDeSys 2.3.x.x Svensk installationsguide WAGO Kontakttechnik GmbH & Co. KG / Jonas Hedlöf & Simon Nilsson WAGO Sverige 1 Target Settings del 1 - Starta CodeSys -Välj rätt targetfil beroende på vilken

Läs mer

Styrteknik: Grundläggande logiska funktioner D2:1

Styrteknik: Grundläggande logiska funktioner D2:1 Styrteknik: Grundläggande logiska funktioner D2:1 Digitala kursmoment D1 Boolesk algebra D2 Grundläggande logiska funktioner D3 Binära tal, talsystem och koder Styrteknik: Grundläggande logiska funktioner

Läs mer

Introduktion till programmering och Python Grundkurs i programmering med Python

Introduktion till programmering och Python Grundkurs i programmering med Python Introduktion till programmering och Python Hösten 2009 Dagens lektion Vad är programmering? Vad är en dator? Filer Att tala med datorer En första titt på Python 2 Vad är programmering? 3 VAD ÄR PROGRAMMERING?

Läs mer

Styrteknik 7.5 hp distans: E-1000 och E-Designer

Styrteknik 7.5 hp distans: E-1000 och E-Designer PLC8A:1 E1000 operatörsterminaler En operatörsterminal ger ett gränssnitt mellan männinska-maskin, (MMI människa-maskininteraktion, HMI Human Machine Interface) Alla terminalerna i E1000-serien är utvecklade

Läs mer

Laboration Fuzzy Logic

Laboration Fuzzy Logic BILAGA B Laboration Fuzzy Logic Lär dig simulera ett program! ABB INDUSTRIGYMNASIUM Fuzzy Logic Wikingsons Wåghalsiga Wargar Projekt ABB VT 2006 Västerås Innehåll 1 Introduktion... 3 2 Uppgiften... 3 2.1

Läs mer

Laborationsrapport. Introduktion till elektroteknik och styrteknik ET1014. Givare och ställdon. Kurs. Lab nr 6. Version p1.0. Laborationens namn

Laborationsrapport. Introduktion till elektroteknik och styrteknik ET1014. Givare och ställdon. Kurs. Lab nr 6. Version p1.0. Laborationens namn Laborationsrapport Kurs Laborationens namn Introduktion till elektroteknik och styrteknik ET1014 Givare och ställdon Lab nr 6 Version p1.0 Namn Kommentarer Utförd den Godkänd den Sign 1 Givare och ställdon

Läs mer

Övervakning & Programspråk

Övervakning & Programspråk Övervakning & Programspråk Denna PowerPoint är gjord för att du ska få en inblick i vad ett driftövervakningssystem är. Vad kan man se? Olika tekniska funktioner? Fördelar? Även en inblick i hur man programmerar

Läs mer

Styrteknik: SFC Introduktion

Styrteknik: SFC Introduktion SFC_A:1 SFC = Sequential Function Chart Language SFC är ett grafiskt programspråk som används för att beskriva sekvenser i styrtekniska tillämpningar. Många styrtekniska uppgifter är sekvensiella, dvs

Läs mer

Industriella styrsystem, TSIU06. Föreläsning 2

Industriella styrsystem, TSIU06. Föreläsning 2 Industriella styrsystem, TSIU06 Föreläsning 2 Reglerteknik, ISY, Linköpings Universitet Sammanfattning av Föreläsning 1 2(24) Det finns en stor mängd system och processer som behöver styras. Återkopplingsprincipen:

Läs mer

KOM IGÅNG GUIDE e!cockpit Av Carsten Holm

KOM IGÅNG GUIDE e!cockpit Av Carsten Holm KOM IGÅNG GUIDE e!cockpit Av Carsten Holm Support.se@wago.com AGENDA 1. LICENSHANTERING 2. AVSCANNING AV HÅRDVARAN 3. SKAPA I/O VARIABLER 4. BIBLIOTEKSHANTERING 5. ANVÄNDNING AV VARIABLER 6. FÖRSTA PROGRAMMET

Läs mer

K44 Duo. Installation & User Manual EN SV DE FR DA FI NO

K44 Duo. Installation & User Manual EN SV DE FR DA FI NO K44 Duo Installation & User Manual EN SV DE FR DA FI NO 1 2 3 8 1 6 3 2 5 4 7 A 5 8 0 6 9 B K44 Duo Installation & User Manual EN SV DE FR DA FI NO 1 2 3 8 1 6 3 2 5 4 7 A 5 8 0 6 9 B K44 Duo Installations-

Läs mer

Grunderna i stegkodsprogrammering

Grunderna i stegkodsprogrammering Kapitel 1 Grunderna i stegkodsprogrammering Följande bilaga innehåller grunderna i stegkodsprogrammering i den form som används under kursen. Vi kommer att kort diskutera olika datatyper, villkor, operationer

Läs mer

MSR. www.intab.se info@intab.se 0302-24 600 Gjutarevägen 1 443 61 Stenkullen

MSR. www.intab.se info@intab.se 0302-24 600 Gjutarevägen 1 443 61 Stenkullen MSR www.intab.se info@intab.se 0302-24 600 Gjutarevägen 1 443 61 Stenkullen Inledning Intabs MSR är en liten kraftfull datalogger med USB-anslutning som komponeras ihop efter behov. De olika modellerna

Läs mer

Sortering av cylindrar

Sortering av cylindrar Automationsteknik Sortering av cylindrar 1(8) Sortering av cylindrar I denna laboration ska ett antal aluminiumcylindrar sorteras med hjälp av ett sorteringsverk som styrs av en Siemens SIMATIC S7-1200

Läs mer

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktionen beskriver grunderna för att använda programvaran Xilinx ISE WebPack 6.2.03 tillsammans med en CPLD (Complex Programmable Logic

Läs mer

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien DIGITAL- OCH MIKRODATORTEKNIK, U2 09.00 13.00 Tillåtna hjälpmedel: Instruktionslista PIC16F877A Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien Fullständiga lösningar skall inlämnas.

Läs mer

Modbus över Ethernet. WAGO Contact SA TSS STR 2011 1

Modbus över Ethernet. WAGO Contact SA TSS STR 2011 1 Modbus över Ethernet WAGO Contact SA TSS STR 2011 1 Modbus över Ethernet En enorm mängd produkter stöder modbus. Modbus kallas ibland för automationens minsta gemensamma nämnare. Kanske är det för att

Läs mer

Så här skriver du ditt första program i C++

Så här skriver du ditt första program i C++ Så här skriver du ditt första program i C++ Introduktion till att skapa Solution, Project och källkodsfil i Visual Studio 2013 Författare Anne Norling Kurs: Strukturerad programmering med C++ Kurskod:1DV433

Läs mer

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Christer Ardlin/Lars Wållberg/ Dan Weinehall/Håkan Joëlson 2008-01-24 v 2.1 ELEKTRONIK Digitalteknik Laboration D181 Kombinatoriska kretsar,

Läs mer

Introduktion till xdigiflex-simulatorn

Introduktion till xdigiflex-simulatorn Introduktion till xdigiflex-simulatorn Installera simulatorprogrammet xdigiflex om detta inte är gjort tidigare. (Det finns en länk till ett installationsprogram på kurshemsidan.) Starta sedan xdigiflex!

Läs mer

LEJON LABORATION3. Laborationens syfte

LEJON LABORATION3. Laborationens syfte LABORATION3 LEJON Laborationens syfte Syftet med laborationen är dels att lära känna laborationsutrustningen och dels att få en uppfattning om hur en digital konstruktion är uppbyggd, i detta fallet med

Läs mer

Välkommen till. Styrteknik grundkurs

Välkommen till. Styrteknik grundkurs Välkommen till Styrteknik grundkurs Allmänt om styrsystem (PLC) Ladder och Logik Grundinstruktioner Tidskretsar Räknare Minne SET- och RST-instruktioner Strukturering av program Sekvensprogrammering överkurs

Läs mer

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn.

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn. Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg Laboration nr 4 i digitala system ht-15 Ett sekvensnät.. grupp.. namn. godkänd Laborationens syfte: att ge grundläggande kunskaper i att

Läs mer

TILLÄMPNINGAR INOM DATORTEKNIK

TILLÄMPNINGAR INOM DATORTEKNIK TILLÄMPNINGAR INOM DATORTEKNIK I detta kapitel skall vi titta lite närmare på några specifika tillämpningar inom datorteknik som har anknytning till El och Energiprogrammet. Om du som läser denna kurs

Läs mer

HÖGSKOLAN I KALMAR Institutionen för teknik Erik Loxbo 06 03 04 LABORATION I PLC-TEKNIK SEKVENSSTYRNING AV TRANSPORTBAND SIMATIC S7 - GRAPH

HÖGSKOLAN I KALMAR Institutionen för teknik Erik Loxbo 06 03 04 LABORATION I PLC-TEKNIK SEKVENSSTYRNING AV TRANSPORTBAND SIMATIC S7 - GRAPH HÖGSKOLAN I KALMAR Institutionen för teknik Erik Loxbo 06 03 04 LABORATION I PLC-TEKNIK SEKVENSSTYRNING AV TRANSPORTBAND SIMATIC S7 - GRAPH Uppgift: Lös nedanstående problemställning med hjälp av programvaran

Läs mer

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D Lars-Erik Cederlöf Per Liljas Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D1 2001-05-28 Tentamen omfattar 40 poäng, 2 poäng för varje uppgift. 20 poäng ger godkänd tentamen. Tillåtet

Läs mer

Modbus. WAGO Contact SA TSS STR

Modbus. WAGO Contact SA TSS STR Modbus WAGO Contact SA TSS STR 2011 1 Modbus över Ethernet En enorm mängd produkter stöder modbus. Modbus kallas ibland för automationens minsta gemensamma nämnare. Kanske är det för att modbus är relativt

Läs mer

Utbildningar 2009. Försprång genom kunskap

Utbildningar 2009. Försprång genom kunskap Utbildningar 2009 Försprång genom kunskap Training and consulting Kurser och industriell konsultering som gör din produktion effektivare inom: -Pneumatik -Hydraulik -Styrteknik -TPM, Lean Production -Produktionsutveckling

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2018 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D TSEA51 Digitalteknik Y TSEA52 Digitalteknik

Läs mer

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll:

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll: F: Minneselement Innehåll: - Latchar - Flip-Flops - egister - Läs- och skrivminne (andom-access Memory AM) - Läsminne (ead Only Memory OM) Ett minneselements egenskaper Generellt sett så kan följande operationer

Läs mer

Sida 1 (10) KI_sv.dot,

Sida 1 (10) KI_sv.dot, )XQNWLRQRFKDQYlQGQLQJVRPUnGH FX0N-3A omvandlar en analog ström- eller spänningssignal till ett digitalt värde samt omvandlar ett digitalt värde från centralenheten till en analog ström- eller spänningssignal.

Läs mer

Laboration 3 HI1024, Programmering, grundkurs, 8.0 hp

Laboration 3 HI1024, Programmering, grundkurs, 8.0 hp Laboration 3 HI1024, Programmering, grundkurs, 8.0 hp Dataingenjörsprogrammet, elektroingenjörsprogrammet och medicinsk teknik KTH Skolan för Teknik och Hälsa Redovisning: Se Kurs-PM om hur redovisningen

Läs mer

Quick start manual. Smart-House 2015-04-20. Rev 1.1

Quick start manual. Smart-House 2015-04-20. Rev 1.1 Quick start manual Smart-House 2015-04-20 Rev 1.1 Innehåll Förord... 3 Programvara... 4 Hämta programvara... 4 PC krav... 4 Dokumentation... 5 Manualer... 5 Projektdokumentation... 5 Smart-Dupline... 5

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012 Med kommentarer för kursen ht 2012 2012 CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna

Läs mer

Laboration 1 Styrteknik

Laboration 1 Styrteknik 110114/ Thomas Munther IDE-sektionen, Halmstad Högskola Laboration 1 Styrteknik Starta upp programmet GX IEC Developer ligger på skrivbordet eller alternativt under program. Gå sedan in under Project-

Läs mer

Allt om datalogging och datakommunikation!

Allt om datalogging och datakommunikation! Allt om datalogging och datakommunikation! Data Logging Elementär datakommunikation PLC8D:1 Elementär datakommunikation, E-Designer och Ethernet PLC8D:2 Data Logging Data Logging innebär att data samlas

Läs mer

Instruktion för I/O-Kort, med USB-anslutning.

Instruktion för I/O-Kort, med USB-anslutning. Instruktion för I/O-Kort, med USB-anslutning. I/O-kortet har: 8 digitala ingångar. Avsedda för slutande kontakter. Gemensam plus-matning 15Volt. Öppen ingång = 0. 8 digitala utgångar. Utgångskrets typ

Läs mer

BICT:01 BICT. sv-se. Användarinstruktion Gäller från BICT 2.24. Utgåva 5. Scania CV AB 2015, Sweden

BICT:01 BICT. sv-se. Användarinstruktion Gäller från BICT 2.24. Utgåva 5. Scania CV AB 2015, Sweden BICT:01 Utgåva 5 sv-se BICT Användarinstruktion Gäller från BICT 2.24 339 837 Scania CV AB 2015, Sweden Introduktion 3 Om BICT 3 Inställningar 4 Översikt 5 Beskrivning av termer 6 Grafiska symboler i programmet

Läs mer

WAGO IO System Service Seminar. Diagnostik

WAGO IO System Service Seminar. Diagnostik WAGO IO System Service Seminar Diagnostik 1 Dioder på Controller Link 1/2 Ethernet Länk status Av - ingen ethernet anslutning grön - Ethernet anslutning blinkande grön - Ethernet anslutning skickar / tar

Läs mer

Quickstart manual. Rev SHTOOL Quickstart manual Smart-House

Quickstart manual. Rev SHTOOL Quickstart manual Smart-House Quickstart manual Rev. 2.3 2017-09-14 SHTOOL 6.5.33 1 Innehåll 1 FÖRORD... 3 2 PROGRAMVARA... 4 2.1 Hämta programvara... 4 2.2 PC krav... 4 3 DOKUMENTATION... 5 3.1 Manualer... 5 3.2 Projektdokumentation...

Läs mer

Beijer Electronics AB 2000, MA00336A, 2000-12

Beijer Electronics AB 2000, MA00336A, 2000-12 Demonstration driver English Svenska Beijer Electronics AB 2000, MA00336A, 2000-12 Beijer Electronics AB reserves the right to change information in this manual without prior notice. All examples in this

Läs mer

Flödesschema som visar hur man använder Quartus II.

Flödesschema som visar hur man använder Quartus II. Flödesschema som visar hur man använder Quartus II. För att det skall bli lite enklare använder jag följande exempel: ut1

Läs mer

Mitsubishi styrsystem

Mitsubishi styrsystem B-uppsats LITH-ITN-YH-PR--07/004--SE Mitsubishi styrsystem Richard Andersson Kim Björnholm 2007-05-31 Department of Science and Technology Linköpings universitet SE-601 74 Norrköping, Sweden Institutionen

Läs mer

Tentamen SSY 065, lördag 14/4, 08:30-12:30, M. Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30

Tentamen SSY 065, lördag 14/4, 08:30-12:30, M. Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30 Industriautomation Tentamen SSY 065, lördag 14/4, 08:30-12:30, M Examinator: Martin Fabian, (772) 3716 Tider för lärarens närvaro: 09:30, 11:30 Fullständig lösning ska lämnas på samtliga uppgifter. I förekommande

Läs mer

Datorprojekt, del 1. Digitala system 15 p

Datorprojekt, del 1. Digitala system 15 p Namn: Laborationen godkänd: Digitala system 15 p L T H I n g e n j ö r s h ö g s k o l a n v i d C a m p u s H e l s i n g b o r g Datorprojekt, del 1 Projektet består i att skapa en klocka där tiden visas

Läs mer

F5 Introduktion till digitalteknik

F5 Introduktion till digitalteknik Exklusiv eller XOR F5 Introduktion till digitalteknik EDAA05 Roger Henriksson Jonas Wisbrant På övning 2 stötte ni på uttrycket x = (a b) ( a b) som kan utläsas antingen a eller b, men inte både a och

Läs mer

Ansvarig lärare: Olof Andersson, Telefon 021-101314 (besöker skrivsalen)

Ansvarig lärare: Olof Andersson, Telefon 021-101314 (besöker skrivsalen) MÄLRLENS HÖGSKOL Institutionen för elektroteknik Tentamen Mikrodatorteknik T3760 atum 2005-10-28 Tid 08.30 12.30 nsvarig lärare: Olof ndersson, Telefon 021-101314 (besöker skrivsalen) Om du klarat samtliga

Läs mer

Datainmatning TÄNKTA BETECKNINGAR. Variabelnamn/kolumnbeteckning, Dummyvärden, som matas in beroende på aktuellt svarsalternativ

Datainmatning TÄNKTA BETECKNINGAR. Variabelnamn/kolumnbeteckning, Dummyvärden, som matas in beroende på aktuellt svarsalternativ Åke Aronsson och Studentlittertur Att komma igång med SPSS 1 Kapitel 7: Att komma igång med SPSS Syftet med detta avsnitt är att ge en introduktion till SPSS 9.0 för Windows 95/98/NT. I det här avsnittet

Läs mer

Fjärruppkoppling med MRD-310 - Industriell 3G-Router KI00282A 2010-01

Fjärruppkoppling med MRD-310 - Industriell 3G-Router KI00282A 2010-01 Fjärruppkoppling med MRD-310 - Industriell 3G-Router KI00282A 2010-01 1 Funktion och användningsområde Med hjälp av 3G Routern MRD-310 finns möjlighet att via modem fjärransluta till ett Ethernetnätverk

Läs mer

Lab nr Styrteknik ET1001 Givare och ställdon

Lab nr Styrteknik ET1001 Givare och ställdon Laborationsrapport Kurs Laborationens namn Styrteknik ET1001 Givare och ställdon Lab nr 4 Version 2.0 Namn Kommentarer Utförd den Godkänd den Sign 1 Givare och ställdon Inledning I denna laboration skall

Läs mer

Drivrutinen DrvTC2 används för att kommunicera via ADS och PLC:er från Beckhoff.

Drivrutinen DrvTC2 används för att kommunicera via ADS och PLC:er från Beckhoff. DrvTC2 Drivrutinen DrvTC2 används för att kommunicera via ADS och PLC:er från Beckhoff. För mer information om protokollet ADS och produkter från Beckhoff besök http://www.beckhoff.se/ DrvTC2 använder

Läs mer

WinStudio - Uppstart. Drifttagning av operato rspanel med IndraWorks och WinStudio. IndraWorks 14. Version

WinStudio - Uppstart. Drifttagning av operato rspanel med IndraWorks och WinStudio. IndraWorks 14. Version WinStudio - Uppstart Drifttagning av operato rspanel med IndraWorks och WinStudio IndraWorks 14 Version 1 2016-07-14 WinStudio - Uppstart 3 Innehållsförteckning 1 Inledning 4 1.1 Rekommenderad dokumentation.........................

Läs mer

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 - Inbyggda system - Analog till digital signal - Utvecklingssystem, målsystem - Labutrustningen - Uppbyggnad av mikrokontroller - Masinkod, assemblerkod

Läs mer

Enchipsdatorer med tillämpningar LABORATION 7, ROBOT

Enchipsdatorer med tillämpningar LABORATION 7, ROBOT Enchipsdatorer med tillämpningar LABORATION 7, ROBOT Laborationsansvariga: Anders Arvidsson Utskriftsdatum: 2005-05-14 Laboranter: 1 Syfte Denna laboration syftar till att introducera interrupt och watchdog

Läs mer