Styrning av ett sorteringsverk med PLC

Storlek: px
Starta visningen från sidan:

Download "Styrning av ett sorteringsverk med PLC"

Transkript

1 Styrning av ett sorteringsverk med PL Förberedelser Läs igenom handledningen före laborationen. Gör föreberedelseuppgifterna som finns i handledningen FÖR laborationen. Om inte förberedelseuppgifterna är gjorda kan ni INT räkna med hjälp på laborationen. Uppgift u ska med hjälp av en PL få ett sorteringsverk att sortera (aluminium)cylindrar efter höjd och bredd. Sorteringen ska ske enligt: 1. ylindrar med rätt höjd och bredd ska till fack ylindrar med minst ett mått för stort och där det andra måttet inte är för litet ska till fack ylindrar där minst ett av måtten är för litet ska till fack 1. Observera att det finns inte ett rätt breddmått utan rätt mått ligger inom ett intervall. n anledning till denna sorterinsstrategi kan vara att cylindrar som inte har rätt höjd och/eller bredd men inte är för små kan omarbetas. Är däremot cylindrarna för små måste de skrotas. Sorteringsverket Sorteringsverket består av: 1. Lager för cylindrar som ska mätas. 2. Matare som drivs av stegmotor. 3. Skiva som matar cylindrarna förbi mätanordningen och till rätt fack, drivs av stegmotor. 4. Mätanordning för höjd och bredd. estår av ljuskälla och tre fotodioder. 1

2 Figur 1 Översikt av sorteringsverket. 5. Lager för sorterade cylindrar och avlänkningsanordning. Stegmotorerna drivs av ett externt genererat pulståg där man bara behöver släppa fram pulståget till stegmotorn för den ska börja gå. Mataren behöver 320 pulser för att gå ett varv/mata ut en cylinder. Insignaler (till) och utsignaler (från) sorteringsverket är: In Öppna avlänkning 1 Öppna avlänkning 2 Öppna avlänkning 3 Matare Skiva Ut Opt1 = Fotodiod längst ned. Opt2 = Fotodiod i mitten Opt3 = Fotodiod överst Tabell 1 In/till och ut/från signaler för sorteringsverket. PL Insignaler till PLn består av utsignalerna från sorteringsverket, en startsignal och ett pulståg/klocka som driver stegmotorerna. xtern logik finns för att få pulsräknaren i PLn att mäta rätt (Figur 2) cylinderbredd. en externa logiken behövs eftersom pulståget som driver stegmotorerna är mycket snabbare än PLns scan rate (pulsräknaren är inte synkroniserad med PLns program). Med extern logik säkerställs att det alltid blir korrekt antal pulser för breddmätning. Utsignalerna från PLn är insignaler till sorteringsverket :55 2

3 Ingångar Pulsräknare I0.0 Opt1 I0.1 Opt2 I0.2 Opt3 I0.3 Startknapp I0.4 Utgångar Avlänkning 1 Q0.0 Avlänkning 2 Q0.1 Avlänkning 3 Q0.2 Rotera skiva Q0.3 Matare Q0.4 Tabell 2 In- och utsignaler till/från PLn. Opt1 clock Feeder & & >1 High-Speed ounter Figur 2 Logik för pulsräknare (High-Speed-ounter HS). Funktionsbeskrivning Sortering startar när startknappen slås till. Matningsskivan börjar snurra och cylindermataren matar ut en cylinder på skivan. Antal pulser måste räknas så att bara en cylinder matas ut. När cylindern kommer fram till foto-dioderna börjar höjd- och breddmätning. Pulsräknaren startar när den understa foto-dioden blir täckt. ylinderbredden mäts i antal pulser eftersom det är enklast och dessutom är #pulser vridningsvinkel bredd. Värdet av breddmätningen står kvar i pulsräknaren tills pulsräknaren nollställs. ylinderhöjden mäts med tre foto-dioder och ger tre olika höjder, låg, rätt eller hög. ftersom man inte kan räkna med att cylindern inte är skev kan inte höjdmätningen styras av den understa foto-dioden. Istället kan höjden mätas i mitten av cylindern eller kontinueligt under tiden cylindern passerar (varför?). Medan värdet av breddmätningen står kvar i pulsräknaren efter det att cylindern har passerat foto-dioderna så är höjden då okänd. et finns (minst) två olika sätt att angripa detta. 1. Spara undan höjden för att använda den i beräkning efter det att cylindern har passerar foto-dioderna. 2. Välj kommande tillstånd efter cylinderns höjd. et här kan vara lite besvärligt för höjden berättar inte nödvändigtvis om hur cylindern ska sorteras. När höjd och bredd är kända kan cylindern sorteras i rätt låda. Öppna rätt avlänkningsanordning och vänta den tid det tar för cylindern att nå rätt låda. Tre olika lådor medför tre olika tider vilket ger att tre timrar måste användas :55 3

4 Signaler Opt2 falsk Opt1, Opt2 sann Opt1, Opt2, Opt3 sann Höjd låg ok hög Tabell 3 n falsk signal betyder att motsvarande foto-diod är belyst. Grundläggande ladder-programmering och digitalteknik I ladder programmering tänker man sig att man har två skenor med olika potential. Genom att koppla kontakter och brytare mellan de två skenorna kan man få en ström att flyta och därmed realisera logiska uttryck. Sym- Figur 3 (höger). tt relä med kontakt och spole (vänster) och motsvarigheten i ladder bolerna för brytare och kontakt syns i Figur 3. rytare (spole) och kontakt är vanligtvis bitar i PLns minne men kan även vara in- och utsignaler. e enkla OH och LLR syns i Figur 4 (hur negeras en in- resp utsignal?). X X Y Z Z Y Figur 4 AN (vänster) och OR (höger) funktioner implementerade i ladder logik. SR-vippan Grundläggande för tillståndsmaskiner är minne. e tidigare nämnda OH och LLR funktionerna är kombinatoriska, det vill säga att utsignalen ändras omedelbart när insignalen ändras. Minnet har egenskapen att komma ihåg vad insignalen/insignalerna var när de tas bort från minnet. et grundläggande minneselementet är S(et)-R(eset)-vippan. Två implementering syns i Figur 5. Kombinationen S=1 och R=1 ger olika men distinkt utsignal för SR-vipporna i Figur 5. ftersom utsignalen beror på hur vippan är konstruerad är det inte rekommenderat att använda S=1 och R=1 som insignaler :55 4

5 S R M S M M M R S R M 0 0 Kom ihåg ? Figur 5 SR-vippa som ladder och dess sanningstabell. Ladder och (asynkrona) tillståndsmaskiner et finns flera idéer om hur man gör ett ladder-program. Många av dem leder tyvärr till program som är svåra att felsöka och modifiera. Om det inte finns specifika krav (minnestillgång, hastighet,...) så rekomenderas det att strukturera problemet så att en tillståndsmaskin löser problemet. Jämför med Grafcet/SF som alltid resulterar i en (utökad) tillståndsmaskin. ftersom det inte finns någon klocka i en PL är tillståndsmaskinen asynkron. å PLn dessutom exekverar sitt program sekventiellt och inte parallellt innebär det att man behöver vara nogran när PL årogrammet kodas. et rekomenderas att programmet delas in i tillstånd, signaler, minnen och eventuella funktionsblock. Håll dig sedan till den ordningen när programmet kodas :55 5

6 Förberedelser Repetera kombinatorik och tillståndsmaskiner (digitalteknik). Mer utförligt om ladder-programmering finns i kapitel 5 i William olton: "Programmable Logic ontrollers", lsevier, 2009, ISN10: , ISN13: (länk till U). 1. Skriv ett (ladder)program som matar ut en cylinder, mäter cylinderns bredd och lägger cylindern i den första lådan. n möjlig tillståndsgraf finns i Figur Varför finns det inget villkor på övergången mellan tillstånd S3 och S4 i Figur 6? 3. Hur kan man göra om man måste stanna mataren efter exakt 320 pulser? 4. Analysera programmet i Figur 7 och beskriv vad det gör (rita tillståndsgraf). 5. Rita en tillståndsgraf och ett ladderprogram som löser sorteringsproblemet i funktionsbeskrivningen. Reset ounter Feeder:Off isc:off S1 Start utton Feeder:On isc:on S2 Timer 320 Pulses Open Gate Start Timer isc:on Feeder:Off S4 S3 Reset ounter Feeder:Off isc:on Figur 6 Tillståndsgraf för förberedelseuppgift 1. Laborationsuppgifter 1. Skriv in programmet från förberedelseuppgift 1. Kör programmet och anteckna de olika bredder som förekommer. 2. Skriv in programmet från förberedelseuppgift 5 och kör det :55 6

7 A #S4 #TMR1.Q #S2 #S1 #S1 #S1 #Start #S3 #S2 #S2 #S2 #"320pulser" #S4 #S3 #S3 #S3 #S1 #S4 #S A Symbol Address Type omment #S2 ool #S1 ool #S3 ool N #S4 ool #"320pulser" #TMR1.Q #Start #S1 Network 2: HS & Timers #I_Timer_0_ Instance TP #S3 Time T#500MS IN PT Q T... ool ool ool W#16#0102 "HS_1" False False False 0 L#0 L#0 0 HS IR V RV PRIO NW_IR NW_V NW_RV NW_PRIO #TRL_HS_0_ Instance TRL_HS NO USY STATUS #PULS >= Int 320 #"320pulser" #S4 #TMR1 TON Time IN Q T#2S_500MS PT T F Owner Operator esigned y hecked y Symbol Address escription 2nd Type omment Language Approved y Symbol Address Type omment #S1 ool #S3 ool #S4 ool 320 #S1 320 Project name prep Int #SKIVA ate 11/30/2018 #"320pulser" Project Path ool #PULS #S4 :\Users\Morten\ocuments\projekt\IA\kurs\automationskurs\PL\siemens Int #FAK_1 #TMR1 \sortering_prep\prep I_Timer #TRL_HS_0_Instance Location Multi_SF escription 1st "HS_1" W#16#0102 HW_HS T#2S_500MS T#2S_500MS Time en-us #S2 #I_Timer_0_Instance #S1 ool Figur 7 Ladderprogrammet ool 1st View I_Timer (blocket) Prep_1, förberedelseuppgift Version 4. Sheet 4-3 T#500MS #S4 T#500MS Time ool #FAK_1 ool Network #MATAR 3: Outputs ool #SKIVA ool A #S2 #MATAR :55 7

8 Kort introduktion till TIA portal Skapa nytt projekt etta är endast en kortfattad beskrivning. För en utförligare beskrivning se till exempel den fullständiga manualen eller en kortare introduktion. etta och ytterligare material finns på: pub/kurser/automation/pdf/siemens-intro/. 1. Välj reate new project, namnge projektet och klicka på reate. Gå över till Project view. Klicka på Add new device i Project tree. ubbelklicka på PU 1214 A//Rly och markera 6S X0, klicka OK. 2. Under PL1 program blocks finns nu ett Main-block, ytterligare block kan läggas till genom att klicka på Add new block. I detta fall väljer vi att lägga till ett ladderdiagram och väljer typ: F och Language: LA. Implementering av sekvensstyrning Nedan följer ett exempel på hur sekvensstyrning kan implementeras med hjälp av ladderdiagram. In och utgångarna till PLn namnges i en s.k. tag table (Figur 8) för att öka överskådligheten i programmet. Själva sekvensen A prep / Sortering [PU 1214 A//Rly] / PL tags / efault tag table [29] PL tags PL tags Name ata type Address Retain Visible Accessible omment in HMI from HMI System_yte yte %M1 False True True FirstScan ool %M1.0 False True True iagstatusupdate ool %M1.1 False True True AlwaysTRU ool %M1.2 False True True AlwaysFALS ool %M1.3 False True True Puls ool %I0.0 False True True OPT1 ool %I0.1 False True True OPT2 ool %I0.2 False True True OPT3 ool %I0.3 False True True Start ool %I0.4 False True True FAK_1 ool %Q0.0 False True True FAK_2 ool %Q0.1 False True True FAK_3 ool %Q0.2 False True True Skiva ool %Q0.3 False True True Matare ool %Q0.4 False True True OUNTRVALU Int %I1000 False True True Figur 8 xempel på tag table som benämner in och utgångar. finns i funktionsblocket Prep_1 (Figur 7). etta funktionsblock anropas från Main (Figur 9) varje programcykel. PLns in- och utgångar är anslutna till Prep_1-blocket via Main-blocket (Figur 9). För signaldefinitionerna i Main används definitionerna i tag table. Owner Operator :55 8 Project name prep ate 11/30/2018 Project Path :\Users\Morten\ocuments\projekt\IA\kurs\automationskurs\PL\siemens \sortering_prep\prep Location esigned y escription 1st

9 omment Family Version 0.1 User-defined I Name ata type Offset omment Temp Network 1:... %I0.1 "OPT1" %I0.2 "OPT2" %I0.3 "OPT3" %I0.4 "Start" %I1000 "OUNTRVALU" N OPT1 OPT2 OPT3 Start PULS %1 "Prep_1_" %F1 "Prep_1" FAK_1 FAK_2 FAK_3 MATAR SKIVA NO %Q0.0 "FAK_1" %Q0.1 "FAK_2" %Q0.2 "FAK_3" %Q0.4 "Matare" %Q0.3 "Skiva" Symbol Address Type omment "Prep_1" %F1 lock_f "OPT1" %I0.1 ool "OPT2" %I0.2 ool "OPT3" %I0.3 ool "Start" %I0.4 ool "OUNTRVALU" %I1000 Int "FAK_1" %Q0.0 ool "FAK_2" %Q0.1 ool "FAK_3" %Q0.2 ool "Matare" %Q0.4 ool "Skiva" %Q0.3 ool "Prep_1_" %1 lock_f Figur 9 Huvudblock F Owner Operator Approved y Project name prep :\Users\Morten\ocuments\projekt\IA\kurs\automationskurs\PL\siemens \sortering_prep\prep Location som används inne i blocket. essa kan definieras antingen som Static eller esigned y escription 1st Temp. Static-variabler behåller sina värden från en programcykel till nästa hecked y escription 2nd Language en-us ate 11/30/2018 Project Path In- och utgångar till funktionsblocket Prep_1 är definierade i funktionsblockets interface, se Figur 10. är finns också möjlighet att definiera variabler medan Temp-variablerna bara finns tillgängliga medan blocket exekveras 1st View Version Sheet 3-1 under den aktuella programcykeln. I detta fall ska en sekvensstyrning implementeras och PLn måste därmed komma ihåg det aktuella tillståndet till nästa programcykel. Alltså definieras tillstånden som Static. I exemplet är tillstånden S_1, S_2, S_3 och S_4. Observera att efault value på S_1 är satt till true för att initiera sekvensen till att starta i detta tillstånd, se Figur 7. et finns andra sätt att åstadkomma detta, vilka? I Figur 7 är sekvensen implementerad med hjälp av SR-vippor med en vippa för varje tillstånd. Övergången till ett nytt tillstånd bestäms av vilket tillstånd man befinner sig i för tillfället samt av insignalerna. Utsignalerna, som endast är beroende av vilket tillstånd man befinner sig i, placeras lämpligen separerade från själva sekvensen. Användning av high speed conunter Högerklicka på PL_1 i Project tree, välj Properties, High speed counter(hs)1 och markera nable. Räknevärdet från HS1 återfinns på adress %I1000, variabeln är av typen Int. För att starta räknaren räcker det med att välja enable enligt ovan. Om man däremot önskar ytterligare kontroll, såsom till exempel nollställning av räkneregistret, behövs blocket TRL_HS som hämtas från ounting. Sedan blocket placerats måste man koppla det till rätt räknare. ubbelklicka på HS-ingången och välj HS_1. När V-ingången sätts till TRU nollställs räkneregistret. I exemplet nedan sker detta när START blir FALS :55 9

10 Prep_1_ Properties General Name Prep_1_ Number 1 Type Language Information Title Author omment Family Version 0.1 User-defined I Name ata type Offset Start value Retain Accessible from HMI Visible omment in HMI Input OPT1 ool false False True True OPT2 ool false False True True OPT3 ool false False True True Start ool false False True True PULS Int 0 False True True Output FAK_1 ool false False True True FAK_2 ool false False True True FAK_3 ool false False True True MATAR ool false False True True SKIVA ool false False True True InOut Static S1 ool true False True True S2 ool false False True True S3 ool false False True True S4 ool false False True True 320pulser ool false False True True TRL_HS_0_Instance TRL_HS True True Input HS HW_HS W#16#0 False True True IR ool False False True True V ool False False True True RV ool False False True True PRIO ool False False True True 1 NW_IR Int 0 False True True 2 3 NW_V Int L#0 False True True 4 NW_RV Int L#0 False True True A F Owner Operator esigned y hecked y Approved y prep Visible omment sible in HMI 11/30/2018 ate Project Path :\Users\Morten\ocuments\projekt\IA\kurs\automationskurs\PL\siemens from \sortering_prep\prep HMI Int 0 False True True NW_PRI O Output Name ata typeproject Offset name Start value Retain Acces Location escription 1st USY ool escription 2nd False False True Language True STATUS Word W#16#0 False True True InOut Static TMR1 I_TIMR False True True ST Time T#0ms False True True PT Time T#2500ms False True True T Time T#0ms False True True RU ool false False False False IN ool false False True True Q ool false False True True I_Timer_0_Instance I_TIMR False True True ST Time T#0ms False True True PT Time T#0ms False True True T Time T#0ms False True True RU ool false False False False IN ool false False True True Q ool false False True True en-us 1st View Version Sheet 5-1 Figur 10 xempel på interface till funktionsblock. Användning av timer n tillslagsfördröjd timer kan hämtas från Timer operations TON. Om timern infogas i ett funktionsblock, välj Multi instance och klicka OK. PT-ingången anger den tid som ska förflyta innan timerns utgång aktiveras, i detta fall 2.5s. Timerns utgång Q kan användas i andra delar av programmet utan att göra någon grafisk koppling. Istället anges timernamn.q i villkoret där man önskar använda värdet på timerns utgång. Owner Operator :55 10 Project name prep ate 11/30/2018 Project Path :\Users\Morten\ocuments\projekt\IA\kurs\automationskurs\PL\siemens \sortering_prep\prep Location esigned y escription 1st

11 Kompilering och nerladdning ftersom High speed countern är hårdvaruimplementerad måste man kompilera både hårdvara och mjukvara. essutom måste man vara uppmärksam på att samtliga block i projektet kompileras. Om man använder respektive för att kompilera och ladda ner koden har det betydelse vilket block som är markerat just då vilket lätt kan leda till att man tror att hela projektet är kompilerat och nerladdat trots att så inte är fallet. För att undvika eventuella problem kan man istället högerklicka på PL_1 i Project tree och därefter välja ompile - All samt ownload to device - All. Första gången man laddar ner koden dyker rutan xtended download to device upp. Välj Type of the PG/P interface - PN/I och klicka Load när PLn är funnen. Om rutan Assign IP adress dyker upp, klicka OK. När programmet är kompilerat, nerladdat och körs kan man studera variablernas värden genom att klicka på :55 11

Sortering av cylindrar

Sortering av cylindrar Automationsteknik Sortering av cylindrar 1(8) Sortering av cylindrar I denna laboration ska ett antal aluminiumcylindrar sorteras med hjälp av ett sorteringsverk som styrs av en Siemens SIMATIC S7-1200

Läs mer

Beskrivning av sorteringsverket. Automationsteknik Sortering av cylindrar 1(5)

Beskrivning av sorteringsverket. Automationsteknik Sortering av cylindrar 1(5) Automationsteknik Sortering av cylindrar 1(5) Sortering av cylindrar I denna laboration ska ett antal aluminiumcylindrar sorteras med hjälp av ett sorteringsverk som styrs av en mikroprocessor. Sorteringen

Läs mer

LABORATIONER I STYRTEKNIK. Grundläggande PLC- programmering

LABORATIONER I STYRTEKNIK. Grundläggande PLC- programmering 1 LABORATIONER I STYRTEKNIK LABORATION : Grundläggande PLC- programmering 2 Övning 1. Rita ur reläschemat nedan ett ladderschema i GX IEC Developer. Kör programmet och prova funktionen med hjälp av ingångssimulatorn.

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2017 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D Linköpings universitet SE-581 83

Läs mer

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson, John Berge 203 DIGITALTEKNIK I Laboration DE2 Sekvensnät och sekvenskretsar Namn... Personnummer... Epost-adress... Datum för

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION KURS ET1001 Styrteknik LAB NR PLC 5 INNEHÅLL 1. Inledning 2. Laborationskortet i styrteknik 3. Laborationsuppgifter NAMN KOMMENTARER PROGRAM/KURS

Läs mer

Introduktion till syntesverktyget Altera Max+PlusII

Introduktion till syntesverktyget Altera Max+PlusII Lunds Universitet LTH Ingenjörshögskolan Ida, IEA Helsingborg Laboration nr 5 i digitala system, ht-12 Introduktion till syntesverktyget Altera Max+PlusII Beskrivning i VHDL och realisering av några enkla

Läs mer

Tentamen i Digitalteknik, EITF65

Tentamen i Digitalteknik, EITF65 Elektro- och informationsteknik Tentamen i Digitalteknik, EITF65 3 januari 2018, kl. 14-19 Skriv anonymkod och identifierare, eller personnummer, på alla papper. Börja en ny uppgift på ett nytt papper.

Läs mer

Laborationshandledning för mätteknik

Laborationshandledning för mätteknik Laborationshandledning för mätteknik - digitalteknik och konstruktion TNE094 LABORATION 2 Laborant: E-post: Kommentarer från lärare: Institutionen för Teknik och Naturvetenskap Campus Norrköping, augusti

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION PLC-styrning av ett minimalt parkeringsgarage KURS El- och styrteknik för tekniker ET 1015 INNEHÅLL LAB NR 4 Ver 1.0 1. Inledning 2. Laborationskortet

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Elektroteknik LABORATION LABORATIONSINSTRUKTION Trafikljus med SFC-programmering KURS El- och styrteknik för tekniker ET1015 INNEHÅLL LAB NR PLC 5 Ver 1.0 1. Inledning 2. Laborationskortet

Läs mer

F5 Introduktion till digitalteknik

F5 Introduktion till digitalteknik Exklusiv eller XOR F5 Introduktion till digitalteknik EDAA05 Roger Henriksson Jonas Wisbrant På övning 2 stötte ni på uttrycket x = (a b) ( a b) som kan utläsas antingen a eller b, men inte både a och

Läs mer

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll:

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll: F: Minneselement Innehåll: - Latchar - Flip-Flops - egister - Läs- och skrivminne (andom-access Memory AM) - Läsminne (ead Only Memory OM) Ett minneselements egenskaper Generellt sett så kan följande operationer

Läs mer

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15.

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15. Aktivera Kursens mål: LV3 Fo7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

Ladderprogrammering steg för steg

Ladderprogrammering steg för steg Ladderprogrammering steg för steg En introduktion till LD-programmering för kursen MIE 012 Elektroteknikens Grunder vid LTH. Gunnar Lindstedt Introduktion Den dominerande typen av styrsystem för binära

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2018 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D TSEA51 Digitalteknik Y TSEA52 Digitalteknik

Läs mer

+5V. start. Styrsystem. stopp. Tillståndsmaskiner

+5V. start. Styrsystem. stopp. Tillståndsmaskiner Tillståndsmaskiner Beteendet hos en stor klass av tekniska system kan beskrivas, modelleras, med tillståndsmaskiner. En tillståndsmaskin är en sekvens av tillstånd som beror av händelser och som ger olika

Läs mer

Laboration Fuzzy Logic

Laboration Fuzzy Logic BILAGA B Laboration Fuzzy Logic Lär dig simulera ett program! ABB INDUSTRIGYMNASIUM Fuzzy Logic Wikingsons Wåghalsiga Wargar Projekt ABB VT 2006 Västerås Innehåll 1 Introduktion... 3 2 Uppgiften... 3 2.1

Läs mer

1. Förpackningsmaskin / Packaging machine

1. Förpackningsmaskin / Packaging machine 1. örpackningsmaskin / Packaging machine venska: En förpackningsmaskin ser ut enligt nedanstående skiss. Den inkommande tuben matas fram med motorn. otorn går så länge som dess styrsignal är sann. Om tuben

Läs mer

Programmerbar logik. Kapitel 4

Programmerbar logik. Kapitel 4 Kapitel 4 Programmerbar logik Programmerbar logik (PLC: Programmable Logic Controller; fi. ohjelmoitava logiikka) är en sorts mikrodatorliknande instrument som är speciellt avsedda för logik- och sekvensstyrningsproblem.

Läs mer

IE1205 Digital Design: F9: Synkrona tillståndsautomater

IE1205 Digital Design: F9: Synkrona tillståndsautomater IE25 Digital Design: F9: Synkrona tillståndsautomater Moore och Mealy automater F8 introducerade vippor och vi konstruerade räknare, skift-register etc. F9-F skall vi titta på hur generella tillståndsmaskiner

Läs mer

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler Exempeluppgift i Logikstyrning Inledning Idén med detta papper är att ge en allmän beskrivning av labbutrustningen och tips för hur man kan lösa olika praktiska problem i samband med laborationen. Läs

Läs mer

Programmerbara styrsystem

Programmerbara styrsystem Styrteknik ETB016 Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner Programmerbara styrsystem PLC står för Programmable Logical

Läs mer

Paneler - VCPXX.2. Programmeringsmanual för VCP-paneler. Revision 2

Paneler - VCPXX.2. Programmeringsmanual för VCP-paneler. Revision 2 Paneler - VCPXX.2 Programmeringsmanual för VCP-paneler Revision 2 Innehållsförteckning Innehållsförteckning... 2 1 Symbolfiler för kommunikation via IndraLogic... 3 2 Uppsättning i IndraWorks... 6 3 Programmering

Läs mer

Sekvensnät Som Du kommer ihåg

Sekvensnät Som Du kommer ihåg Sekvensnät Som Du kommer ihåg Designmetodik Grundläggande designmetodik för tillståndsmaskiner. 1. Analysera specifikationen för kretsen 2. Skapa tillståndsdiagram 3. Ställ upp tillståndstabellen 4. Minimera

Läs mer

Försättsblad till skriftlig tentamen vid Linköpings Universitet

Försättsblad till skriftlig tentamen vid Linköpings Universitet Försättsblad till skriftlig tentamen vid Linköpings Universitet Datum för tentamen 03-05-3 Salar U, KÅRA, U3 Tid -8 Kurskod TSEA Provkod TEN Kursnamn Digitalteknik Institution ISY Antal uppgifter som ingår

Läs mer

Sekvensstyrning Grafcet och IEC

Sekvensstyrning Grafcet och IEC Sekvensstyrning Grafcet och IEC 61131-3 Indtroduktion GRAFCET Tekniken grundades i Frankrike på 1970-talet och ligger till grund för ett standardiserat programspråk i enlighet med standard IEC 61131-3.

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #9 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola ekvensnät Vad kännetecknar ett sekvensnät? I ett sekvensnät

Läs mer

IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2

IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2 IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2 Sekvensnät Om en och samma insignal kan ge upphov till olika utsignal, är logiknätet ett sekvensnät. Det måste då ha ett inre minne som gör

Läs mer

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM Innehåll Designflöde Översikt av integrerade kretsar Motivation Hardware Description Language CAD-verktyg 1 DESIGNFLÖDE FÖR DIGITALA

Läs mer

Sekvensnät vippor, register och bussar

Sekvensnät vippor, register och bussar ekvensnät vippor, register och bussar agens föreläsning: Lärobok kap.5 Arbetsbok kap 8,9,10 Ur innehållet: Hur fungerar en -latch? Hur konstrueras JK-, - och T-vippor? er och excitationstabeller egister

Läs mer

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl Tentamen TSEA22 Digitalteknik 5 juni, 2015, kl. 08.00-12.00 Tillåtna hjälpmedel: Inga. Ansvarig lärare: Mattias Krysander Visning av skrivningen sker mellan 10.00-10.30 den 22 juni på Datorteknik. Totalt

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2011-08-26 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna hjälpmedel

Läs mer

Sekvensnät i VHDL del 2

Sekvensnät i VHDL del 2 Laboration 6 i digitala system ht-16 Sekvensnät i VHDL del 2 Realisering av Mealy och Moore i VHDL............................. Namn............................. Godkänd (datum/sign.) 2 Laborationens syfte

Läs mer

Följddiagram för händelsestyrda rörelser

Följddiagram för händelsestyrda rörelser Följddiagram för händelsestyrda rörelser 2 STYROBJEKT UNIKA FASER Två arbetscylindrar ska röra sig i följande ordning. När man ger startkommando ska kolvstången i cylinder gå ut. När den har nått sitt

Läs mer

Ladderprogrammering steg för steg

Ladderprogrammering steg för steg Ladderprogrammering steg för steg En introduktion till LD-programmering för kursen EIEF35 Elektroteknikens Grunder vid LTH. Gunnar Lindstedt Introduktion Den dominerande typen av styrsystem för binära

Läs mer

Styrteknik: Tidskretsar, räknare

Styrteknik: Tidskretsar, räknare PLC4A:1 Timer: Tidtagare, automatisk till- eller frånkoppling. Timer för PLC (Tidkrets) En tidsstyrd ett- eller noll-ställning av en utgång/minnescell. Tidsstyrningen kan vara tillslagsfördröjd (on delay

Läs mer

Tentamen i Digital Design

Tentamen i Digital Design Kungliga Tekniska Högskolan Tentamen i Digital Design Kursnummer : Kursansvarig: 2B56 :e fo ingenjör Lars Hellberg tel 79 7795 Datum: 27-5-25 Tid: Kl 4. - 9. Tentamen rättad 27-6-5 Klagotiden utgår: 27-6-29

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Institutionen för Elektroteknik LABORATION LABORATIONSINSTRUKTION LOG/iC, PLD, kombinatorik, sekvensnät KURS Digitalteknik LAB NR 6 INNEHÅLL. Inledning 2. Prioritetskodare 3. Elektronisk

Läs mer

Grunderna i stegkodsprogrammering

Grunderna i stegkodsprogrammering Kapitel 1 Grunderna i stegkodsprogrammering Följande bilaga innehåller grunderna i stegkodsprogrammering i den form som används under kursen. Vi kommer att kort diskutera olika datatyper, villkor, operationer

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

OMRON. PLC till PLC kommunikation (CP1L-E) Ethernet. 22 april 2014 OMRON Corporation

OMRON. PLC till PLC kommunikation (CP1L-E) Ethernet. 22 april 2014 OMRON Corporation Ethernet 22 april 2014 OMRON Corporation 2/16 Läs detta innan du bläddrar vidare OMRON Denna bok är avsedd som ett tillägg till de ursprungliga manualerna för Omrons produkter. Använd den som en hjälp

Läs mer

Verktyg och Utvecklingsmiljö. Föreläsning 2 Eclipse

Verktyg och Utvecklingsmiljö. Föreläsning 2 Eclipse Verktyg och Utvecklingsmiljö Föreläsning 2 Eclipse Verktyg Modern programutveckling innebär att man måste behärska ett antal verktyg. Editorer Kompilatorer Avlusare(debugger) Versionshantering(kommer i

Läs mer

Safe Logic Compact. Konfigurering av Rexroth säkerhets PLC. Snabbguide Svenska

Safe Logic Compact. Konfigurering av Rexroth säkerhets PLC. Snabbguide Svenska Safe Logic Compact Konfigurering av Rexroth säkerhets PLC Snabbguide 05.2013 Svenska The data specified only serve to describe the product. No statements concerning a certain condition or suitability for

Läs mer

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn.

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn. Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg Laboration nr 4 i digitala system ht-15 Ett sekvensnät.. grupp.. namn. godkänd Laborationens syfte: att ge grundläggande kunskaper i att

Läs mer

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Institutionen för elektro- och informationsteknik Campus Helsingborg, LTH 2018-01-09 8.00-13.00 (förlängd 14.00) Uppgifterna i tentamen

Läs mer

LOGIKSTYRNING/18/2: Laboration. 1 Uppgiften. Figur 1: Tågbanan

LOGIKSTYRNING/18/2: Laboration. 1 Uppgiften. Figur 1: Tågbanan LOGIKSTYRNING/18/2: Laboration Figur 1: Tågbanan 1 Uppgiften En programmerbar logik (PLC) skall programmeras för ett transportör-problem med en transportör (tåg) och ett antal stationer (se figur 1). Vid

Läs mer

Styrteknik: Grundläggande logiska funktioner D2:1

Styrteknik: Grundläggande logiska funktioner D2:1 Styrteknik: Grundläggande logiska funktioner D2:1 Digitala kursmoment D1 Boolesk algebra D2 Grundläggande logiska funktioner D3 Binära tal, talsystem och koder Styrteknik: Grundläggande logiska funktioner

Läs mer

+5V. start. Styrsystem. stopp. Tillståndsmaskiner

+5V. start. Styrsystem. stopp. Tillståndsmaskiner Tillståndsmaskiner Beteendet hos en stor klass av tekniska system kan beskrivas, modelleras, med tillståndsmaskiner. En tillståndsmaskin är en sekvens av tillstånd som beror av händelser och som ger olika

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2010-08-27 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

VHDL 1. Programmerbara kretsar

VHDL 1. Programmerbara kretsar VHDL 1 Programmerbara kretsar CPLD FPGA VHDL Kombinatorik with-select-when when-else Sekvensnät process case if-then-else Programmerbara kretsar PLD = programmable logic device CPLD = complex PLD, i princip

Läs mer

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson 2003-09-15 v 2.1 DIGITALTEKNIK Laboration D163 Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner

Läs mer

Sekvensnät. William Sandqvist

Sekvensnät. William Sandqvist Sekvensnät Om en och samma insignal kan ge upphov till olika utsignal, är logiknätet ett sekvensnät. Det måste då ha ett inre minne som gör att utsignalen påverkas av både nuvarande och föregående insignaler!

Läs mer

Tentamen i Digitalteknik, EIT020

Tentamen i Digitalteknik, EIT020 Elektro- och informationsteknik Tentamen i Digitalteknik, EIT020 4 april 2013, kl 14-19 Skriv namn och årskurs på alla papper. Börja en ny lösning på ett nytt papper. Använd bara en sida av pappret. Lösningarna

Läs mer

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik

Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1. Styrteknik Styrteknik 4.5/7.5 hp distans: Programmering med IEC 61131-3 PLC1B:1 Styrteknik Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner

Läs mer

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik EDA 321 Digitalteknik syntes 2011-2012 Laboration 2 - VHDL 1. Enkelt sekvensnät 2. Trafikräknare i VHDL 3. Syntes av VHDL-kod Namn

Läs mer

IE1204/IE1205 Digital Design

IE1204/IE1205 Digital Design TENTAMEN IE1204/IE1205 Digital Design 2012-12-13, 09.00-13.00 Inga hjälpmedel är tillåtna! Hjälpmedel Tentamen består av tre delar med sammanlagd tolv uppgifter, och totalt 30 poäng. Del A1 (Analys) innehåller

Läs mer

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktionen beskriver grunderna för att använda programvaran Xilinx ISE WebPack 6.2.03 tillsammans med en CPLD (Complex Programmable Logic

Läs mer

Industriella styrsystem, TSIU04. Föreläsning 1

Industriella styrsystem, TSIU04. Föreläsning 1 Industriella styrsystem, TSIU04 Föreläsning 1 Reglerteknik, ISY, Linköpings Universitet Mål Ge kunskaper och färdigheter om reglerteknik närmare verkligheten. Mera precist: Trimning av PID-regulatorer.

Läs mer

Styrteknik : Funktioner och funktionsblock

Styrteknik : Funktioner och funktionsblock PLC2A:1 Variabler och datatyper Allmänt om funktioner och funktionsblock Programmering av funktioner Programmering av funktionsblock PLC2A:2 Variabler i GX IEC Developer Global and Local Variables Variables

Läs mer

Mekanisk solros, Digitala projekt(edi021) Kristoer Nordvall, Stefan Windfeldt, Inlämmnad: 4 december 2006

Mekanisk solros, Digitala projekt(edi021) Kristoer Nordvall, Stefan Windfeldt, Inlämmnad: 4 december 2006 Mekanisk solros, Digitala projekt(edi021) Kristoer Nordvall, d03kn@student.lth.se Stefan Windfeldt, d03sw@student.lth.se Inlämmnad: 4 december 2006 Innehåll 1 Problembeskrivning 3 2 Teknisk beskrivning

Läs mer

Laboration D151. Kombinatoriska kretsar, HCMOS. Namn: Datum: Epostadr: Kurs:

Laboration D151. Kombinatoriska kretsar, HCMOS. Namn: Datum: Epostadr: Kurs: UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Christer Ardlin/Lars Wållberg/ Håkan Joëlson 2000-01-28 v 2.3 ELEKTRONIK Digitalteknik Laboration D151 Kombinatoriska kretsar, HCMOS Namn:

Läs mer

DIGITALTEKNIK. Laboration D164. Logiska funktioner med mikroprocessor Kombinatoriska funktioner med PIC16F84 Sekvensfunktioner med PIC16F84

DIGITALTEKNIK. Laboration D164. Logiska funktioner med mikroprocessor Kombinatoriska funktioner med PIC16F84 Sekvensfunktioner med PIC16F84 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Björne Lindberg Håkan Joëlson 2007-11-22 v 2.3 DIGITALTEKNIK Laboration D164 Logiska funktioner med mikroprocessor Kombinatoriska funktioner

Läs mer

Digital elektronik CL0090

Digital elektronik CL0090 Digital elektronik CL9 Föreläsning 5 27-2-2 8.5 2. Naxos Demonstration av uartus programvara. Genomgång av uartus flödesschema. Detta dokument finns på kurshemsidan. http://www.idt.mdh.se/kurser/cl9/ VHDL-kod

Läs mer

Konstruktionsmetodik för sekvenskretsar

Konstruktionsmetodik för sekvenskretsar Konstruktionsmetodik för sekvenskretsar Digitalteknik Föreläsning 7 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Inför laboration 2 Synkronisering av insignaler Asynkrona ingångar

Läs mer

PNSPO! Använda NJ med NS System Memory. 14 mars 2012 OMRON Corporation

PNSPO! Använda NJ med NS System Memory. 14 mars 2012 OMRON Corporation Använda NJ med NS 14 mars 2012 OMRON Corporation 2/15 Läs detta innan du bläddrar vidare Denna bok är avsedd som ett tillägg till de ursprungliga manualerna för OMRONs produkter. Använd den som en hjälp

Läs mer

VHDL och laborationer i digitalteknik

VHDL och laborationer i digitalteknik V:1.1 VHDL och laborationer i digitalteknik Vid laborationskursen i digitalteknik används VHDL till alla laborationerna utom den första. VHDL är ett stort språk och enbart en liten del av språket behövs

Läs mer

Labb i Datorsystemteknik och programvaruteknik Programmering av kalkylator i Visual Basic

Labb i Datorsystemteknik och programvaruteknik Programmering av kalkylator i Visual Basic Labb i Datorsystemteknik och programvaruteknik Programmering av kalkylator i Visual Basic Inledning Starta Microsoft Visual Studio 2005. Välj create Project Välj VB + Vindows Application och välj ett nytt

Läs mer

SEKVENSKRETSAR. Innehåll

SEKVENSKRETSAR. Innehåll SEKVENSKRETSAR Innehåll Synkrona sekvenskretsar Tillståndsdiagram / tillståndstabell Definition av Moore- och Mealy-maskiner Tillståndskodning Syntes av sekventiell logik Räknare SEKVENSKRETSAR EXEMPEL

Läs mer

Tentamen i Digitalteknik, TSEA22

Tentamen i Digitalteknik, TSEA22 Försättsblad till skriftlig tentamen vid Linköpings universitet, Datorteknik, IY 1(4) Tentamen i Digitalteknik, TEA22 Datum för tentamen 120529 al T1, T2, KÅRA Tid 14.00-18.00 Kurskod Provkod Kursnamn/benämning

Läs mer

Repetition TSIU05 Digitalteknik Di/EL. Michael Josefsson

Repetition TSIU05 Digitalteknik Di/EL. Michael Josefsson Repetition TSIU05 Digitalteknik Di/EL Michael Josefsson Här kommer några frågeställningar och uppgifter du kan använda för att använda som egenkontroll på om du förstått huvudinnehållet i respektive föreläsning.

Läs mer

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning... UMEÅ UNIVERSITET Tillämpad fysik och elektronik 2014 John Berge et al. DIGITAL ELEKTRONIK Laboration DE3 VHDL 1 Namn... Personnummer... Epost-adress... Datum för inlämning... Introduktion Syftet med denna

Läs mer

JavaScript del 3 If, Operatorer och Confirm

JavaScript del 3 If, Operatorer och Confirm JavaScript del 3 If, Operatorer och Confirm Under förra uppgiften så kollade vi på hur användaren kan ge oss information via promt(), vi använde den informationen både för att skriva ut den och för att

Läs mer

DIGITALTEKNIK. Laboration D172

DIGITALTEKNIK. Laboration D172 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson 2006-02-24 v 1.2 DIGITALTEKNIK Laboration D172 Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner

Läs mer

Laboration i digitalteknik Introduktion till digitalteknik

Laboration i digitalteknik Introduktion till digitalteknik Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 6 Laboration i digitalteknik Introduktion till digitalteknik TSEA Digitalteknik D TSEA5 Digitalteknik Y TDDC75

Läs mer

SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR

SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR 2 Projekt mikrobasic PRO for AVR organiserar applikationer som projekt vilka består av en enda projektfil (med filändelsen.mbpav) och en eller flera

Läs mer

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare Sekvensiella System a(t) f(a(t)) Ett sekvensiellt system har ett inbyggt minne - utsignalen beror därför BÅDE av insignalens NUVARANDE

Läs mer

Automation Laboration: Överföring över PROFIBUS

Automation Laboration: Överföring över PROFIBUS Automation Laboration: Överföring över PROFIBUS Inledning Sedan slutet av 80-talet har kommunikationssystemet PROFIBUS utvecklats och ökat i användning inom industrin. PROFIBUS utgör ett exempel på ett

Läs mer

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1 Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1 Från Wikipedia: Sekvensnät Ett sekvensnäts utgångsvärde beror inte bara på indata, utan även i vilken ordning datan kommer (dess sekvens).

Läs mer

Läsminne Read Only Memory ROM

Läsminne Read Only Memory ROM Läsminne Read Only Memory ROM Ett läsminne har addressingångar och datautgångar Med m addresslinjer kan man accessa 2 m olika minnesadresser På varje address finns det ett dataord på n bitar Oftast har

Läs mer

LV6 LV7. Aktivera Kursens mål:

LV6 LV7. Aktivera Kursens mål: Aktivera Kursens mål: LV6 LV7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

Digitalteknik EIT020. Lecture 15: Design av digitala kretsar

Digitalteknik EIT020. Lecture 15: Design av digitala kretsar Digitalteknik EIT020 Lecture 15: Design av digitala kretsar November 3, 2014 Digitalteknikens kopplingar mot andra områden Mjukvara Hårdvara Datorteknik Kretskonstruktion Digitalteknik Elektronik Figure:,

Läs mer

Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas

Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas Högskolan Dalarna Sida 1 av 8 Elektroteknik Per Liljas Tentamen i ET1014 Introduktion till elektroteknik och styrteknik 7.5 hp 2012-08-22 14.00-18.00, Sal Sxxx Hjälpmedel: Miniräknare, formelblad (bifogad)

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #13 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Vad kännetecknar en tillståndsmaskin? En synkron tillståndsmaskin

Läs mer

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Christer Ardlin/Lars Wållberg/ Dan Weinehall/Håkan Joëlson 2008-01-24 v 2.1 ELEKTRONIK Digitalteknik Laboration D181 Kombinatoriska kretsar,

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2008-08-29 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Johan Eriksson Tel 070 589 7911 Tillåtna

Läs mer

Laborationshandledning

Laborationshandledning Laborationshandledning Utbildning: ED Ämne: TNGE11 Digitalteknik Laborationens nummer och titel: Nr 5 Del A: Schmittrigger Del B: Analys av sekvensnät Laborant: E-mail: Medlaboranters namn: Handledarens

Läs mer

Styrteknik : Programmering med IEC 61131-3. Styrteknik

Styrteknik : Programmering med IEC 61131-3. Styrteknik PLC1B:1 Styrteknik Allmänt om styrsystem (PLC) Grundinstruktioner Introduktion av GX IEC Developer Benämningar Minne SET- och RST-instruktioner PLC1B:2 PLC står för Programmable Logical Controller Kom

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

AVR 3 - datorteknik. Avbrott. Digitala system 15 hp. Förberedelser

AVR 3 - datorteknik. Avbrott. Digitala system 15 hp. Förberedelser Namn: Laborationen godkänd: Digitala system 15 hp AVR 3 - datorteknik LTH Ingenjörshögskolan vid Campus Helsingborg Avbrott. Syften med den här laborationen är att introducera avbrott. Avbrott som uppkommer

Läs mer

IndraView - VCPXX.2. Recepthantering Version 1

IndraView - VCPXX.2. Recepthantering Version 1 IndraView - VCPXX.2 Recepthantering Version 1 1 Förberedelser i IndraLogic Skapa en struktur som innehåller alla variablerna för ditt recept. I manualen används följande. Skapa nu en ny variabel lista

Läs mer

Styrteknik: E-Designer och E-1000

Styrteknik: E-Designer och E-1000 PLC8B:1 E-Designer 7.40, Introduktion Grunderna Blockhantering Olika Objekt Trender-Dataloggning Alarmhantering Recept Bilder 2008-05-02 PLC8B:2 Nedanstående program skall sparas och exekveras i PLC:n.

Läs mer

Digitalteknik F9. Automater Minneselement. Digitalteknik F9 bild 1

Digitalteknik F9. Automater Minneselement. Digitalteknik F9 bild 1 Digitalteknik F9 Automater Minneselement Digitalteknik F9 bild Automater Från F minns vi följande om en automat (sekvenskrets): Utsignalerna beror av insignal och gammalt tillstånd: Insignaler Utsignaler

Läs mer

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna EDA321 Digitalteknik-syntes D2 och E3 GU DIT795 Tentamen (EDA321-0205) Fredag den 13 januari 2012, fm i M-salarna Examinator Arne Linde, tel. 772 1683 Tillåtna hjälpmedel Inga hjälpmedel tillåtna. Detta

Läs mer

Roboten. Sida 1 av 11

Roboten. Sida 1 av 11 EV3 ipad Roboten Fyra output portar A,B,C och D(motorer) Fyra input portar 1,2,3 och 4 (sensorer) USB, Bluetooth, eller Wi-Fi koppling 16 MB flash minne 64 MB RAM SD Card Port: 32 GB Flera inbyggda verktyg

Läs mer

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs: UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg/Håkan Joëlson 2001-03-01 v 1.5 ELEKTRONIK Digitalteknik Laboration D159 Sekvensnät beskrivna med VHDL och realiserade med PLD

Läs mer

Industriella styrsystem, TSIU06. Föreläsning 2

Industriella styrsystem, TSIU06. Föreläsning 2 Industriella styrsystem, TSIU06 Föreläsning 2 Reglerteknik, ISY, Linköpings Universitet Sammanfattning av Föreläsning 1 2(24) Det finns en stor mängd system och processer som behöver styras. Återkopplingsprincipen:

Läs mer

Flödesschema som visar hur man använder Quartus II.

Flödesschema som visar hur man använder Quartus II. Flödesschema som visar hur man använder Quartus II. För att det skall bli lite enklare använder jag följande exempel: ut1

Läs mer

Exempel på tentamensfrågor Digitalteknik

Exempel på tentamensfrågor Digitalteknik Exempel på tentamensfrågor Digitalteknik Till dessa frågor (som kommer från lite olika tidgare tentor) gällde förutsättningen: Hjälpmedel: Kurslitteratur, föreläsningsantecknigar lab. med mätresultat,

Läs mer

TSEA22 Digitalteknik 2019!

TSEA22 Digitalteknik 2019! 1(43) 2019 Mattias Krysander Ingemar Ragnemalm 1(43) Föreläsning 7. Sekv3. enna föreläsning: Lösningar närmare verkligheten Synkronisering Enpulsare Problem till design 2(43)2(43) Förra föreläsningen:

Läs mer