Emulator EM-11. Handledning

Storlek: px
Starta visningen från sidan:

Download "Emulator EM-11. Handledning"

Transkript

1 Emulator EM-11 Handledning 1999

2 2

3 Innehåll 1 Allmänt Emulering Beskrivning av emulatorn Emulatorkortet Emuleringskontakter Anslutningar Emulatorns funktion Minnesarkitektur Emulering av PORTB och PORTC Automatisk start av användarprogram Utveckling av konstruktioner i expanderad mod Monitorprogram för IAR:s C-SPY

4 4

5 1 Allmänt EM-11 är ett utvecklingssystem för konstruktion med enkapseldatorn MC68HC11. Systemet är en vidareutveckling av Motorolas EVM-kort. Det består av en ICE (iin Circuit Emulator) med nödvändiga program för att testa den egna konstruktionen. I en emulator är alla provkörningar helt verklighetstrogna, vilket innebär att alla tester sker i full fart och med den egna kretskonstruktionen inkopplad. Förutom testning (eller sk avlusning) kan man även programmera in det färdiga programmet i flera typer av 68HC11. Då använder man monitorprogrammet Bug-11. Denna handledning beskriver hur emulatorn är uppbyggd. För en fullständig beskrivning hur emulatorn används, hänvisas till en separat handledningar, Bug-11, eller kapitel 5 som beskriver hur emulatorn kontrolleras av högnivådebuggern C-SPY. Vid konstruktionsarbetet bör man ha tillgång till någon av de manualer som finns för 68HC11. Den mest användbara är databoken 'MC68HC11 E SERIES, Technical Data' som innehåller all teknisk information man behöver. Behöver man djupare insikter eller utförliga beskrivningar av assemblyspråket, kan man använda 'M68HC11 REFERENCE MANUAL'. För den vane kan 'PROGRAMMING REFERENCE GUIDE' räcka; det är en liten bok i fickformat som innehåller allt väsentligt i kortfattad form. Den finns liksom databoken i en speciell upplaga för varje HC11-typ. EM-11 kan användas på 3 olika sätt: Med monitorprogrammet Bug-11 (se separat manual): EM-11 direkt. Med hjälp av endast terminal eller terminalemuleringsprogram kan emulatorn användas för fullständig programutveckling och testning. Dock blir editeringsmöjligheterna primitiva och begränsade till enklare assemblerprogram. HC11PDS är ett windowsprogram som innehåller editor, assembler och debugger. Det är speciellt gjort för att vara en inkörsport till datorteknik och konstruktion med enchipsdatorer. Med monitorprogrammet W21: C-SPY, högnivådebugger utvecklad av uppsalaföretaget IAR. Används för testning och felsökning när man också behöver programmera i C. Se kap. 5 Monitorprogram för IAR:s C-SPY. 5

6 2 Emulering 2.1 Beskrivning av emulatorn En emulator är en anordning som via en emuleringskabel exakt kan uppföra sig som en mikroprocessor eller annan avancerad krets i en konstruktion. Emulera betyder härma. Utan att ha den färdiga kretsen med dess program, ska man kunna manipulera med kretsens in- och utsignaler och laborera med programmet. Vidare ska det finnas stöd för mer eller mindre avancerad felsökning i konstruktion och program. Ett viktigt krav är att emulatorn ska uppföra sig helt verklighetstroget; dvs köra med full klockfrekvens och ge de rätta logiska nivåerna till kringkonstruktionen. I denna emulator, som emulerar 68HC11, utförs emuleringen av just en 68HC11. I emulatorn arbetar HC11:an med externa minnen och vissa andra komponenter. Därför måste den använda två portar (PORTB och PORTC) och två kontrollsignaler för att kunna använda extern data- och adressbuss. De förlorade portarna återskapas i en speciell emuleringskrets, TK68HC24, som har konstruerats speciellt för att uppföra sig som en HC11. Därmed kommer signalerna på emuleringskontakten att bete sig som en enchipsdator. Eftersom HC11:an kan användas med externa minnen, kan man egentligen använda den som en vanlig mikroprocessor, ovanligt rikt utrustad med inbyggda periferienheter. I sådana konstruktioner kan man emellertid inte emulera det externa minnet via emuleringskontakten, utan får göra sin utveckling i emulatorns minne. EM-11 emulerar följande HC11-varianter som enchipsdatorer: MC68HC11A1 MC68HC811E2 MC68HC11E1 MC68HC11E9 MC68HC711E9 MC68HC711E20 6

7 Alla typer som är kapslade i 48-pinnars tvårad, kan sättas direkt i emulatorn. Typer i 52-pinnars PLCC använder en adapter, med vilken man också kan programmera varianter med EPROM. Man bör utnyttja den unika möjligheten att emulera med just den HC11-typ man senare ska ha i sin konstruktion; dock ska man vara försiktig med att använda en dyr HC11 om man experimenterar mycket. Ett önskemål är att en emulator helt ska lämna alla kretsens funktioner ifred och inte heller inkräkta på kretsens egen minnesarea. EM-11 uppfyller detta önskemål med ett undantag: 9 byte av stackarean måste reserveras. Denna area används vid programbrytning för att kunna avläsa CPU:ns interna register. Denna inskränkning har sällan någon praktisk betydelse. FFFF F000 E000 Emuleringsminne Emuleringsminne D000 C000 B000 A I/O eller RAM Figur 1. Plan över emulatorns adressarea. Figuren ovan visar den del av den totala minnesarean som är tillgänglig för emulering. Som synes kan mer än 20 kbyte stora program emuleras och både I/O-arean och RAM-minnet kan ges alternativa basadresser. 7

8 2.1.1 Emulatorkortet + 5V GND Kontakt för seriekanal MAX233 R65C Källkod AE-11 PAL16L8 Emuleringsminne MONITOR-program 68HC24 68HC11 Emuleringskontakt EMUL MODE +5 OUT EXT IRQ X-SELECT Figur 2: Plan över emulatorn EM-11 Figur 2 visar en schematisk bild av emulatorn. I den stora nollkraftsockeln ska en HC11 sitta. Använder man en 52-bens PLCC-kapsling (ex vis HC11E1), kan man använda en adapter och på så vis emulera även en sådan krets. Adaptern, kan även användas för programmering av EPROM-bestyckade HC11:or, såsom HC711E9. Kretsen 68HC24 är en emuleringskrets, som återskapar de portar, B och C, som i emulatorn tjänstgör som adress- och databuss. Tack vare denna krets kommer emuleringskontakten att se ut som en HC11 enchipsdator. 8

9 Kretsarna R65C52 och MAX 233 sköter kommunikationen med terminal eller arbetsstation. Vidare finns minneskretsar för systemprogram och arbetsminne (uppdelat i emuleringsminne och källkodsminne). Förr användes en inbyggd radeditor, AE-11, vars källkod kunde sparas i ett EEPROM. Två byglingskontakter är väsentliga för att emulatorn ska fungera: I emulatorns främre högra hörn ska en bygling sitta, X-SELECT, över de stift som är närmast kristallen. Då är den interna kristallen inkopplad. Sätter man byglingen i de två yttre stiften krävs ett målsystem med egen kristall för att allt ska fungera. Du använder detta läge för att testa att målsystemets kristall fungerar. En annan bygling, EMUL MODE, sitter i emulatorns vänstra främre hörn. Den måste sitta i när emulatorn används normalt. Se annars mer om den i kapitel 3.3. Alldeles innanför fronten sitter ytterligare två byglingar: +5V OUT ger en möjlighet att stänga av spänningsmatningen genom emuleringskabeln. Den är praktisk att ha i när man använder enkla laborationkort som inte kräver egen spänningsmatning. Vid mer omfattande målsystem, är det olämpligt att spänningsmata via den relativt klena emuleringskabeln. Speciellt bör ej emulatorn spänningsmatas genom denna. EXT XIRQ gör det möjligt att använda XIRQ på målsystemet. Eftersom detta är den enda signal som både målsystemet och emulatorn använder, kan det ibland finnas önskemål att bryta målsystemets inverkan på den. 9

10 2.1.2 Emuleringskontakter Emuleringskontakten ersätter HC11:an under utvecklingsarbetet. Målsystemet, den egna konstruktionen, ska fungera som om det satt en färdigprogrammerad HC11:a på kontaktens plats. Vissa signaler har dock en något begränsad funktion. Anledningen är att kunna säkerställa emulatorns funktion, även om målsystemet konstruerats felaktigt. Beroende på kapslingstyp, kan man välja mellan två olika emuleringskontakter. 48-pinnars DIL: *5 PA7 PA6 PA5 PA4 PA3 PA2 PA1 PA0 PB7 PB6 PB5 PB4 PB3 PB2 PB1 PB0 PE0 PE1 PE2 PE3 V RL V RH V SS MODB V DD PD5 PD4 PD3 PD2 PD1 PD0 IRQ XIRQ RESET PC7 PC6 PC5 PC4 PC3 PC2 PC1 PC0 XTAL EXTAL STRB E STRA *1 *2 *3 *4 *6 Figuren visar emuleringskontakten för HC11 i 48-pinnars tvåradskapsel. Vid emulering bör man lägga märke till följande: *1 Spänningsmatningen +5V bör helst ske separat. Emulatorns funktion kan ej garanteras om den strömförsörjs utifrån via emuleringskabeln. Å andra sidan kan enkla inkopplingar strömförsörjas från emulatorn. En bygling i emulatorn kan bryta förbindelsen. 10

11 *2 Signalen XIRQ kan kopplas ur om man ej behöver den på målsystemet. Se byglingen 'EXT XIRQ' under beskrivningen av emulatorkortet. *3 Resetsignalen i emuleringskabeln är enkelriktad: Reset kan inte fås att verka från målsystemet in till emulatorn; däremot släpps HC11:ans egen reset ut till målsystemet. *4 Med en bygling kan man välja mellan att driva HC11:an med intern eller extern kristall. Har man en speciell kristall på sitt målsystem så bör man tänka på att använda den. Funktioner som är relaterade till kristallfrekvensen blir annars helt felaktiga. *5 MODB-signalen bestämmer om HC11:ans 'bootstrap'-mode eller normala 'single chip'-mode ska emuleras. Det går naturligtvis att utveckla program också i bootstrap mode. *6 Signalen MODA är inte alls utdragen till emuleringskontakten. Med den väljer man ju om HC11:n ska arbeta i Single Chip eller Expanded Mode och processorn i emulatorn arbetar egentligen alltid i expanderad mode; medan den emulerar enchipsmod. På målsystemet ska alltid denna signal vara ansluten till GND. 52-pinnars PLCC: E7 E6 E5 E4 HC11-PLCC E3 E2 E1 E0 Emuleringskontakten för HC11 i 52-pinnarskapsel. Utöver de punkter som är uppräknade för 48-pinnarskontakten, gäller följande inskränkning: *7 Endast A/D-ingångarna E0 E3 finns utdragna i emuleringskabeln. Med byglingarna har man möjlighet att välja vilken uppsättning av A/Dingångar man vill använda. Det går alltså inte att använda E0 och E4 på samma gång. Var noga med att orientera kontakten rätt! 11

12 2.2 Anslutningar Spänningsmatning sker med +5 volt på emulatorns baksida. Strömförbrukningen är omkring 160 ma. Emulatorn är överströmsskyddad vilket hindrar större strömförbrukning än 700 ma. Detta skyddar emulatorn ifall felaktig spänning har använts eller en kortslutning har uppträtt på målsystemet. På baksidan finns också en kontakt för seriekommunikation med PC. Använder man HC11PDS eller C-SPY får man besked direkt efter start av debugprogrammet att kommunikationen är OK. Använder man enkel terminalkommunikation med Bug-11, får man direkt ett meddelande: Bug-11 v.6.6 HC811E2 Om så inte sker, trycker man på emulatorns resetknapp. Händer fortfarande inget kan det finnas en del förklaringar: Är seriekanalen rätt inställd? Är spänningen OK? (lyser lysdioden på framsidan?) Sitter HC11:an i? Är kristallbyglingen rätt isatt? (finns kristall?) Sitter byglingen EMUL MODE i? Normalt får man alltså ett meddelande som talar om att programmet Bug-11 är igång. Programmet Bug-11 beskrivs i en separat manual 12

13 3 Emulatorns funktion 3.1 Minnesarkitektur FFFF EMULERING FFFF UPPSTART F000 E000 Emuleringsminne F000 E D000 D000 C000 B000 A000 Emuleringsminne C000 B000 A000 Systemprogram Systemprogram När man startar emulatorn, hämtas resetvektor på adress BFFE. Därför måste en bit av systemprogrammet finnas på dessa adresser. Att resetvektorn hämtas på denna adress, styrs av att man låter den starta i 'Special Test Mode' och detta bestäms av byglingen 'EMUL MODE'. Om denna bygling avlägsnas, sker start i normal mod, dvs resetvektor hämtas på adress FFFE. Då kan man använda ett externt minne eller minnet ombord på HC11:an för uppstart. Man låter då emulatorn exekvera det färdiga programmet från spänningspåslag. Se kapitel

14 3.2 Emulering av PORTB och PORTC IRQ XIRQ RESET Interrupt Logic ROM 12 kbyte EXTAL XTAL E MODA MODB Periodic Interrupt Watchdog EEPROM 512 byte Clock Logic Mode Control Pulse Accumulator Output Compare OC1 Input Capture IC RAM 512 byte CPU Address/Data Bus R/W AS Serial Peripheral Interface SPI Serial Communication Interface A/D Converter SCI DDRD PORTA A15 A8 A7 A0 / D7-D0 PORTD PORTE Strobe and Handshake Parallel I/O STRB STRA PORTB DDRC PORTC HC24 Emulatorn innehåller ju en mängd kretsar såsom minnen för programutveckling och andra kretsar som behövs för att den ska kunna kommunicera med terminal eller arbetsstation. HC11:an använder således yttre komponenter som måste kopplas in på adress- och databuss. 16 anslutningar som annars används som port B och C, fungerar som emulatorns interna adress- och databuss. Signalerna STRA och STRB används i emulatorn som adresstrob (AS) och läs/skrivsignal (R/W). För att kunna använda PORTB och PORTC även i konstruktioner där HC11:an måste använda yttre minnen, har Motorola konstruerat en speciell 14

15 emuleringskrets, 68HC24, som återskapar dessa portar och de register som har någon anknytning till dem. I emulatorns emuleringskabel är det HC24:an som genererar alla signaler som har med PORTB och PORTC att göra. Följande register är implementerade i 68HC24: PIOC PORTC PORTB PORTCL DDRC HPRIO: Enbart kontrollbitarna SMOD och IRV. INIT: De fyra lägsta bitarna REG3 - REG0 Det finns några marginella skillnader mellan HC24:s register och motsvarande i HC11: Eftersom HC24 ej har tillgång till kristallfrekvensen direkt, utan klockas av E-signalen från HC11, skiljer sig tidsspecifikationerna något för STRA och STRB. Normalt behöver man inte bry sig om detta. I en HC11 är registret INIT skrivskyddat efter 64 klockcykler; i HC24 skrivskyddas det först efter en skrivning. Den som vill veta mer om funktionen hos 68HC24, kan läsa i 'MC68HC24 PRU Technical Data' 15

16 3.3 Automatisk start av användarprogram Ett intressant sätt att använda emulatorn är att låta det egna programmet helt överta kontrollen från start. Detta sker med hjälp av byglingen 'EMUL MODE' som sitter i ena främre hörnet på emulatorkortet. Byglingens funktion är att välja om HC11:an ska starta i Special Test Mode eller Expanded Mode. Med byglingen avlägsnad, startar HC11:an i Expanded Mode. Maskinkod i HC11:an: Om man programmerar en HC11:a (HC811 eller HC711) och låter den sitta kvar i emulatorn, kan man få detta program att starta när man sätter igång emulatorn. Man måste då sätta bite EEON eller ROMON i CONFIG-registret. Programmering kan ske med kommandot PROG i Bug-11. Maskinkod i EEPROM: Låter man HC11:ans interna minne vara bortkopplat, läses resetvektor från område 3 i det externa EEPROMet. Med kommandot PUT (i Bug-11) kan man överföra maskinkoden hit från emuleringsminnet. På det här sättet får man emulatorn att helt efterlikna en HC11A8 med 8 kbyte programminne. 16

17 4 Utveckling av konstruktioner i expanderad mod IRQ XIRQ RESET Interrupt Logic ROM 12 kbyte EXTAL XTAL E MODA MODB Periodic Interrupt Watchdog EEPROM 512 byte Clock Logic Mode Control Pulse Accumulator Output Compare OC1 Input Capture IC RAM 512 byte CPU Address/Data Bus R/W AS Serial Peripheral Interface SPI Serial Communication Interface A/D Converter SCI DDRD PORTA A15 A8 A7 A0 / D7-D0 PORTD PORTE 74HC573 CS A15 A8 A7 A0 D7 D0 WE OE Kopplingsförslag för externa minnen. Fastän EM-11 inte kan emulera HC11 i expanderad mod, kan man lätt utveckla program för att köras expanderat. Inkoppling görs då enligt figuren ovan. Från adressledningarna A15 och A14 kan en enkel avkodning göras, som placerar programminnet i området C000 - FFFF. Ett ev. skrivminne kan också avkodas direkt från en adressledning (t.ex. A15). Program som utvecklats i emulatorn kan lätt överföras till EEPROM med kommandot PUT. Därefter kan, om så önskas detta minne kopieras till ett EPROM med en vanlig programmeringsutrustning. Avancerade konstruktioner med externa minnen kräver annars en annan emulator. 17

18 5 Monitorprogram för IAR:s C-SPY EM-11 används med fördel tillsammans med IAR:s C-debugger, C-SPY. För att kunna kommunicera med C-SPY behövs ett speciellt monitorprogram, W21, ett EPROM (27256) som ersätter det normala monitorprogrammet Bug- 11. Några påpekanden när man använder EM-11 tillsammans med C-SPY: Om man använder andra typer än 68HC811E2 måste man se till att biten ROMON i CONFIG-registret är nollställd, annars kan det hända att man inte får kontakt med emulatorn. Rekommenderat värde i CONFIG är 0D. ROMON = 0 innebär att man har emuleringsminnet tillgängligt. I monitorversion W21d och senare, nollställs biten ROMON automatiskt vid uppstart. Från och med monitorversion W21e stöds användning av XIRQ. Dessutom nollställs bitarna BPRT3-0 i registret BPROT för att underlätta testningen av program som använder det inbyggda EEPROMet. Vi testkörning med hårdvarureset måste man dock se till att initieringen av detta register sker korrekt. 18

Emulator EMX-11 Handledning

Emulator EMX-11 Handledning Emulator EMX-11 Handledning 2000-09-13 MICRONYM 2 Emulator EMX-11 Innehåll 1 Allmänt...4 2 Emulering...5 2.1 Beskrivning av emulatorn...5 2.1.1 Emulatorkortet...7 2.1.2 Emuleringskontakten...9 2.2 Anslutningar...11

Läs mer

MC11. Enkortsdator. MC11 - Hårdvarubeskrivning. MC68HC11 är Motorola INC MS-DOS är Microsoft Corporation MC11, MC68 och MD68k är microlf

MC11. Enkortsdator. MC11 - Hårdvarubeskrivning. MC68HC11 är Motorola INC MS-DOS är Microsoft Corporation MC11, MC68 och MD68k är microlf MC11 Enkortsdator Hårdvarubeskrivning MC68HC11 är Motorola INC MS-DOS är Microsoft Corporation MC11, MC68 och MD68k är microlf Dokument: MC11 - Hårdvarubeskrivning Id. nummer: 131-xx Denna handbok utgör

Läs mer

DAT 015 Maskinorienterad programmering 2010/2011. Uppbyggnad_och_funktion.pdf

DAT 015 Maskinorienterad programmering 2010/2011. Uppbyggnad_och_funktion.pdf DAT 015 Maskinorienterad programmering 2010/2011 Uppbyggnad_och_funktion.pdf Ur innehållet: Bussystem, intern kommunikation i datorsystemet Adressavkodning, hur primärminne och I/O-enheter kan anslutas

Läs mer

Bygg och programmera med enchipsdatorn 68HC11

Bygg och programmera med enchipsdatorn 68HC11 Stefan Nyman Bygg och programmera med enchipsdatorn 68HC11 MICRONYM 27 november 22 INNEHÅLLSFÖRTECKNING FIGURER 6 FÖRORD 9 1 HUR EN DATOR FUNGERAR. 11 1.1 CPU. 14 1.2 Programmerarmodellen. 16 1.3 Datorns

Läs mer

Facit till övningsuppgifter Kapitel 13 Anslutning av minnes- och I/O-moduler till buss 13-1

Facit till övningsuppgifter Kapitel 13 Anslutning av minnes- och I/O-moduler till buss 13-1 Facit till övningsuppgifter Kapitel 13 Anslutning av minnes- och I/O-moduler till buss 13-1 13.1 a) Minneskapseln har 10 st adressledningar, vilket innebär att den har 2 10 = 1024 adresser, var och med

Läs mer

Adressrum, programmerarens bild

Adressrum, programmerarens bild EDA 480 2009/2010 MC68HC12, Uppbyggnad.pdf Ur innehållet: Datorns byggblock Busskommunikation Synkron buss Asynkron buss Multiplex-buss avkodning för minne och I/O Minnesavbildad I/O Direktadresserad I/O

Läs mer

Föreläsningsanteckningar till Konstruktionsmetoder 981027

Föreläsningsanteckningar till Konstruktionsmetoder 981027 Föreläsningsanteckningar till Konstruktionsmetoder 981027 Jämförelse mellan 68705P3 och 16F84 externt MC68705P3 PIC16F84 I/O 20 13 Kapsling 28-pin DIL 18-pin DIL Drivförmåga på pinnar PortB 10mA Sink,

Läs mer

Digital Projekt EDI 021 Konstruktion av talande nummerpresentatör VT1 2004

Digital Projekt EDI 021 Konstruktion av talande nummerpresentatör VT1 2004 Digital Projekt EDI 021 Konstruktion av talande nummerpresentatör VT1 2004 Erik Brattkull E99 Björn Hilliges E02 INLEDNING 3 Bakgrund 3 Kravspecifikation 3 GENOMFÖRANDE 4 Teoretisk modell 4 Praktisk modell

Läs mer

F9: Minne. Sammansättning av minnesgrupper Ansluta minne till 68000 Interface till olika typer av minnen Användningsområden.

F9: Minne. Sammansättning av minnesgrupper Ansluta minne till 68000 Interface till olika typer av minnen Användningsområden. 1 F9: Minne Minneskonfiguration Sammansättning av minnesgrupper Ansluta minne till 68000 Interface till olika typer av minnen Användningsområden 1 Minnen Minneskapslar kommer i olika storlekar, antal adresspinnar

Läs mer

General Purpose registers ALU I T H S V N Z C SREG. Antag att vi behöver skriva in talet 25 till register R18

General Purpose registers ALU I T H S V N Z C SREG. Antag att vi behöver skriva in talet 25 till register R18 F3 Föreläsning i Mikrodatorteknink 2006-08-29 Kärnan i microcontrollern består av ett antal register och en ALU. Till detta kommer också ett antal portar. Det finns 64 st portar. Några är anslutna mot

Läs mer

RM = HC11 Reference Manual HiP = HC11 i praktiken (Häfte25) H26 = Häfte nr 26 Datablad IAR# = IAR:s manual kapitelnr...

RM = HC11 Reference Manual HiP = HC11 i praktiken (Häfte25) H26 = Häfte nr 26 Datablad IAR# = IAR:s manual kapitelnr... Inlärningsfrågor Datorteknik I RM = HC11 Reference Manual HiP = HC11 i praktiken (Häfte25) H26 = Häfte nr 26 Datablad IAR# = IAR:s manual kapitelnr... A. Mikrodatorsystem 1. Vilka block kan man säga att

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #23 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Översikt När flera minnesmoduler placeras i processorns

Läs mer

F2: Motorola Arkitektur. Assembler vs. Maskinkod Exekvering av instruktioner i Instruktionsformat MOVE instruktionen

F2: Motorola Arkitektur. Assembler vs. Maskinkod Exekvering av instruktioner i Instruktionsformat MOVE instruktionen 68000 Arkitektur F2: Motorola 68000 I/O signaler Processor arkitektur Programmeringsmodell Assembler vs. Maskinkod Exekvering av instruktioner i 68000 Instruktionsformat MOVE instruktionen Adresseringsmoder

Läs mer

F9: Minne. Minneskonfiguration. Sammansättning av minnesgrupper Ansluta minne till Interface till olika typer av minnen Användningsområden

F9: Minne. Minneskonfiguration. Sammansättning av minnesgrupper Ansluta minne till Interface till olika typer av minnen Användningsområden Minneskonfiguration F9: Minne Sammansättning av minnesgrupper Ansluta minne till 68000 Interface till olika typer av minnen Användningsområden 1 Minnen Minneskapslar kommer i olika storlekar, antal adresspinnar

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #23 Översikt När flera smoduler placeras i processorns adressrum ansluts modulernas adressingångar till motsvarande ledningar i en. Övriga adressledningar i bussen

Läs mer

Freescale MC9S12DG256B

Freescale MC9S12DG256B 2011 10 11 Freescale MC9S12DG256B Uppbyggnad och enheter Minnen MC9S12DG256B Processorkärna Portar VR [40] VREGEN [97] V1 [14] V2 [66] MODC/TGHI/BKGD [23] XFC [44] VPLL [45] EXTL [46] XTL [47] RESET [42]

Läs mer

Minnen delas in i två huvudgrupper, permanenta och icke permanenta. Non-volatile and volatile.

Minnen delas in i två huvudgrupper, permanenta och icke permanenta. Non-volatile and volatile. CT3760 Mikrodatorteknik Föreläsning 2 Tisdag 2005-08-30 Minnestyper. Atmega 16 innehåller följande minnestyper: SRAM för dataminne FLASH för programminne EEPROM för parametrar och konstanter. Minnen delas

Läs mer

Digital och Datorteknik EDA /2011. EDA 451 Digital och datorteknik 2010/2011. Uppbyggnad_och_funktion.pdf

Digital och Datorteknik EDA /2011. EDA 451 Digital och datorteknik 2010/2011. Uppbyggnad_och_funktion.pdf EDA 451 Digital och datorteknik 2010/2011 Uppbyggnad_och_funktion.pdf Ur innehållet: Bussystem, intern kommunikation i datorsystemet Adressavkodning, hur primärminne och I/O-enheter kan anslutas Olika

Läs mer

Datorteknik 1 (AVR 1)

Datorteknik 1 (AVR 1) Namn: Laborationen godkänd: Digitala system 15 hp Datorteknik 1 (AVR 1) LTH Ingenjörshögskolan vid Campus Helsingborg Introduktion till datorteknikutrustningen. Laborationens syfte. Syftet med laborationen

Läs mer

Felsökning på 6803LAB - ett mikroprocessorkort

Felsökning på 6803LAB - ett mikroprocessorkort DiglabA Namn Datum Handledarens sign. Laboration Felsökning på LAB - ett mikroprocessorkort Varför denna laboration? Avancerade kretskort med mikroprocessor har ofta externa minneskretsar. De datasignaler

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit

Digitalteknik: CoolRunner-II CPLD Starter Kit CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna i digitalteknik kommer kortet att användas

Läs mer

Projektarbete. Nummerpresentatör

Projektarbete. Nummerpresentatör Institutionen för Informationsteknologi Lunds Tekniska Högskola Projektarbete Nummerpresentatör Av: Henrik Hall Mattias Larsson Romani Nous 2001-01-15 Abstract For the unexperienced student, designing

Läs mer

Adressavkodning - busskommunikation

Adressavkodning - busskommunikation Adressavkodning - busskommunikation Kompendie kapitel 10 Bussystem adressbuss databuss styrbuss Ur innehållet: Bussystem, intern kommunikation i datorsystemet Adressavkodning, hur primär och I/O-enheter

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 - Inbyggda system - Analog till digital signal - Utvecklingssystem, målsystem - Labutrustningen - Uppbyggnad av mikrokontroller - Masinkod, assemblerkod

Läs mer

Formula Blue. Digitala Projekt 8p. Jesper Ferm E02 Carl Hakenäs E04

Formula Blue. Digitala Projekt 8p. Jesper Ferm E02 Carl Hakenäs E04 Formula Blue Digitala Projekt 8p Jesper Ferm E02 Carl Hakenäs E04 Abstract The purpose with this project was to get some understanding how Bluetooth works and how to use it in practical applications. A

Läs mer

Temperaturregleringssystem

Temperaturregleringssystem Temperaturregleringssystem Ett arbete i kursen Digitala Projekt vid LTH vårterminen 2002 Stefan Nilsson d98sn@efd.lth.se Karl Torpel d98kt@efd.lth.se Inledning: 3 Bakgrund: 3 Kravspecifikation: 3 Genomförande:

Läs mer

Digitala projekt rapport

Digitala projekt rapport Digitala projekt rapport Alexander Westrup, d04aw@student.lth.se Martin Sandgren, d04ms@student.lth.se 4 december 2007 Innehåll 1 Abstract 1 2 Inledning 1 3 Arbetsgång 1 4 Hårdvara 1 4.1 Processor...............................

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012 Med kommentarer för kursen ht 2012 2012 CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna

Läs mer

Beskrivning av porthantering i mikroprocessorn SAM3U som används på vårt labkort SAM3U- EK.

Beskrivning av porthantering i mikroprocessorn SAM3U som används på vårt labkort SAM3U- EK. Tomas Nordström Högskolan i Halmstad Dokumentversion 0.1, 2012-04- 01 Beskrivning av porthantering i mikroprocessorn SAM3U som används på vårt labkort SAM3U- EK. Informationen till detta kompendium är

Läs mer

Enchipsdatorer med tillämpningar LABORATION 7, ROBOT

Enchipsdatorer med tillämpningar LABORATION 7, ROBOT Enchipsdatorer med tillämpningar LABORATION 7, ROBOT Laborationsansvariga: Anders Arvidsson Utskriftsdatum: 2005-05-14 Laboranter: 1 Syfte Denna laboration syftar till att introducera interrupt och watchdog

Läs mer

BDM12 Användarbeskrivning. Introduktion

BDM12 Användarbeskrivning. Introduktion Versioner/ändringar 1.0 2003-07 1.01 BUGFIX: FLASH unsecure algoritm 1.02 Fördröjning vid flash-prog. Statusutskrift under programmeringen. Programmeringsalgoritmen hanterar nu även 'bankade' minnet. Översättning

Läs mer

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15.

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15. Aktivera Kursens mål: LV3 Fo7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

AVR 3 - datorteknik. Avbrott. Digitala system 15 hp. Förberedelser

AVR 3 - datorteknik. Avbrott. Digitala system 15 hp. Förberedelser Namn: Laborationen godkänd: Digitala system 15 hp AVR 3 - datorteknik LTH Ingenjörshögskolan vid Campus Helsingborg Avbrott. Syften med den här laborationen är att introducera avbrott. Avbrott som uppkommer

Läs mer

2 UPPBYGGNAD OCH FUNKTION

2 UPPBYGGNAD OCH FUNKTION UTKAST 27/9 2010 - Uppbyggnad och funktion 2 UPPBYGGNAD OCH FUNKTION Detta kapitel ägnas åt metoder och principer som används för att bygga upp ett komplett datorsystem bestående av centralenhet, minne

Läs mer

Innehåll. 1 Inledning 3

Innehåll. 1 Inledning 3 Digitala projekt Tomas Bjerre D04 d04tb@student.lth.se Gustav Reiz D04 d04gr@student.lth.se Roger Schildmeijer D04 d04rp@student.lth.se 26 februari 2008 1 Innehåll 1 Inledning 3 2 Utrustning 3 2.1 ATMega16...............................

Läs mer

Läsminne Read Only Memory ROM

Läsminne Read Only Memory ROM Läsminne Read Only Memory ROM Ett läsminne har addressingångar och datautgångar Med m addresslinjer kan man accessa 2 m olika minnesadresser På varje address finns det ett dataord på n bitar Oftast har

Läs mer

Växtviskaren EITF11 Digitala projekt VT15, I12

Växtviskaren EITF11 Digitala projekt VT15, I12 Växtviskaren EITF11DigitalaprojektVT15,I12 NathalieLiljebrunn,EbbaRiismark,AnnaNorelius LundsTekniskaHögskola Institutionenförelektro ochinformationsteknik Handledare:BertilLindvall,AndreasJohansson 2015

Läs mer

Datorsystemteknik DAV A14 Föreläsning 1

Datorsystemteknik DAV A14 Föreläsning 1 Innehåll Datorsystemteknik DAV A14 Föreläsning 1 Kursinformation Introduktion till datorsystem Programmeringsmodellen Större delen av materialet framtaget av :Jan Eric Larsson, Mats Brorsson och Mirec

Läs mer

Digitalteknik EIT020. Lecture 15: Design av digitala kretsar

Digitalteknik EIT020. Lecture 15: Design av digitala kretsar Digitalteknik EIT020 Lecture 15: Design av digitala kretsar November 3, 2014 Digitalteknikens kopplingar mot andra områden Mjukvara Hårdvara Datorteknik Kretskonstruktion Digitalteknik Elektronik Figure:,

Läs mer

F8: Undantagshantering

F8: Undantagshantering F8: Undantagshantering Undantagshantering i 68 Vad är ett undantag? Typer av undantag Att skriva undantagsrutiner Undantagshantering, vad och varför? Exempel: Ett system ska mäta temperatur var :e sekund

Läs mer

HF0010. Introduktionskurs i datateknik 1,5 hp

HF0010. Introduktionskurs i datateknik 1,5 hp HF0010 Introduktionskurs i datateknik 1,5 hp Välkommna - till KTH, Haninge, Datateknik, kursen och till första steget mot att bli programmerare! Er lärare och kursansvarig: Nicklas Brandefelt, bfelt@kth.se

Läs mer

A-del motsvarande KS1

A-del motsvarande KS1 MÄLARDALENS HÖGSKOLA Institutionen för elektroteknik Tentamen Mikrodatorteknik CT3760 Datum 2005-10-28 Tid 08.30 12.30 Svar till A- och B-del A-del motsvarande KS1 Uppgift A1. Vad blir resultatet då instruktionen

Läs mer

LV6 LV7. Aktivera Kursens mål:

LV6 LV7. Aktivera Kursens mål: Aktivera Kursens mål: LV6 LV7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

Datorsystemteknik DAV A14 Föreläsning 1

Datorsystemteknik DAV A14 Föreläsning 1 Innehåll Datorsystemteknik DAV A14 Föreläsning 1 Kursinformation Introduktion till datorsystem Programmeringsmodellen Större delen av materialet framtaget av :Jan Eric Larsson, Mats Brorsson och Mirec

Läs mer

Effektpedal för elgitarr

Effektpedal för elgitarr EITF11 - Digitala Projekt Effektpedal för elgitarr Handledare: Bertil Lindvall Ivan Rimac (I05) Jimmy Lundberg (I08) 2011-05-10 Contents Bakgrund... 3 Kravspecifikation... 3 Kravspecifikation Effektpedal...

Läs mer

Datorteknik. Tomas Nordström. Föreläsning 6. För utveckling av verksamhet, produkter och livskvalitet.

Datorteknik. Tomas Nordström. Föreläsning 6. För utveckling av verksamhet, produkter och livskvalitet. Datorteknik Tomas Nordström Föreläsning 6 För utveckling av verksamhet, produkter och livskvalitet. Föreläsning 6 Vad händer vid uppstart SoC och Kringkretsar, PIO Programmering i Assembler Lab2 genomgång

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

Laboration 5. Temperaturmätning med analog givare. Tekniska gränssnitt 7,5 p. Förutsättningar: Uppgift: Temperatur:+22 C

Laboration 5. Temperaturmätning med analog givare. Tekniska gränssnitt 7,5 p. Förutsättningar: Uppgift: Temperatur:+22 C Namn: Laborationen godkänd: Tekniska gränssnitt 7,5 p Vt 2014 Laboration 5 LTH Ingenjörshögskolan vid Campus Helsingborg Temperaturmätning med analog givare. Syftet med laborationen är att studera analog

Läs mer

Laboration i digitalteknik Datablad

Laboration i digitalteknik Datablad Linköpings universitet Institutionen för systemteknik Datablad Datorteknik 216 Laboration i digitalteknik Datablad TSEA22 Digitalteknik D TSEA51 Digitalteknik, i, I, Ii TDDC75 Diskreta strukturer IT Linköpings

Läs mer

Moment 2 Digital elektronik. Föreläsning Inbyggda system, introduktion

Moment 2 Digital elektronik. Föreläsning Inbyggda system, introduktion Moment 2 Digital elektronik Föreläsning Inbyggda system, introduktion Jan Thim 1 Inbyggda system, introduktion Innehåll: Historia Introduktion Arkitekturer Mikrokontrollerns delar 2 1 Varför lär vi oss

Läs mer

AVRStudio på tre minuter. Micke Josefsson, 2005

AVRStudio på tre minuter. Micke Josefsson, 2005 AVRStudio på tre minuter Micke Josefsson, 2005 Mycket kort intro till AVRStudio Utvecklingsmiljön AVRStudio innehåller en editor för att mata in programmet, en simulator för att under kontrollerade former

Läs mer

0.1. INTRODUKTION 1. 2. Instruktionens opcode decodas till en språknivå som är förstålig för ALUn.

0.1. INTRODUKTION 1. 2. Instruktionens opcode decodas till en språknivå som är förstålig för ALUn. 0.1. INTRODUKTION 1 0.1 Introduktion Datorns klockfrekvens mäts i cykler per sekund, eller hertz. En miljon klockcykler är en megahertz, MHz. L1 cache (level 1) är den snabbaste formen av cache och sitter

Läs mer

MIKRODATORTEKNIK 2012 INNEHÅLLSFÖRTECKNING

MIKRODATORTEKNIK 2012 INNEHÅLLSFÖRTECKNING MIKRODATORTEKNIK 2012 INNEHÅLLSFÖRTECKNING 1. INLEDNING 1.1. Milstolpar i datorns historia 1.2. Några viktiga begrepp 1.3. Mikrodatorns användningsområden 2. TALSYSTEM, KODER OCH BINÄR ARITMETK 2.1. Binära

Läs mer

Datorprojekt, del 1. Digitala system 15 p

Datorprojekt, del 1. Digitala system 15 p Namn: Laborationen godkänd: Digitala system 15 p L T H I n g e n j ö r s h ö g s k o l a n v i d C a m p u s H e l s i n g b o r g Datorprojekt, del 1 Projektet består i att skapa en klocka där tiden visas

Läs mer

Digitala Projekt Konstruktion av Tamagocchi. Av: Oskar Andersson D05 & Danial Rehman D05

Digitala Projekt Konstruktion av Tamagocchi. Av: Oskar Andersson D05 & Danial Rehman D05 Digitala Projekt Konstruktion av Tamagocchi Av: Oskar Andersson D05 & Danial Rehman D05 DIGITALA PROJEKT KONSTRUKTION AV TAMAGOCCHI... 1 SAMMANFATTNING... 2 INLEDNING... 3 DESIGN... 3 BYGGE... 3 TESTNING...

Läs mer

'HOWHQWDPHQ 6\VWHPNRQVWUXNWLRQ

'HOWHQWDPHQ 6\VWHPNRQVWUXNWLRQ 'HOWHQWDPHQ 6\VWHPNRQVWUXNWLRQ / VQLQJDURFKNRPPHQWDUHU Program: Elektroteknik, mikrodatorsystem Datum: 99-11-02 Tid: 8:00-9:30 Lokal E448 Hjälpmedel: Bilagor: Examinator: Miniräknare, linjal Datablad för

Läs mer

Blue Key Digitala projekt VT

Blue Key Digitala projekt VT Blue Key Digitala projekt VT 2 2004 Maj 2004 Martin Erikson, E00 Mikael Andersson, E00 Department of Information Technology 0 Lund Institute of Technology Sammanfattning Denna rapport behandlar vårt projekt

Läs mer

HW-proj ver 3, ett kortsystem

HW-proj ver 3, ett kortsystem HW-proj ver 3, ett kortsystem (C) Francis Görmarker 199 Detta dokument beskriver projektet där hårdvara för ett generellt mikrodatorkort och ett bussystem för diverse styrsystem, MIDI mm konstrueras. Korten

Läs mer

Datormodell. Datorns uppgifter -Utföra program (instruktioner) Göra beräkningar på data Flytta data Interagera med omvärlden

Datormodell. Datorns uppgifter -Utföra program (instruktioner) Göra beräkningar på data Flytta data Interagera med omvärlden Datormodell Datorns uppgifter -Utföra program (instruktioner) Göra beräkningar på data Flytta data Interagera med omvärlden Intel 4004 från 1971 Maximum clock speed is 740 khz Separate program and data

Läs mer

Minneselement,. Styrteknik grundkurs. Digitala kursmoment. SR-latch med logiska grindar. Funktionstabell för SR-latchen R S Q Q ?

Minneselement,. Styrteknik grundkurs. Digitala kursmoment. SR-latch med logiska grindar. Funktionstabell för SR-latchen R S Q Q ? Styrteknik grundkurs Digitala kursmoment Binära tal, talsystem och koder Boolesk Algebra Grundläggande logiska grindar Minneselement, register, enkla räknare Analog/digital omvandling SR-latch med logiska

Läs mer

Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien DIGITAL- OCH MIKRODATORTEKNIK, U2 11-01-12 09.00 13.00 Tillåtna hjälpmedel: Instruktionslista PIC16F877A Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien Fullständiga lösningar

Läs mer

LABORATION PIC-PROGRAMMERARE

LABORATION PIC-PROGRAMMERARE Laborationsansvarig: Examinator: Anders Arvidsson Bengt Magnhagen Utskriftsdatum: 1998-02-13 Laborant: Godkänd den: / - Sign: Abstract This hands-on session aims at giving the student a useful PIC16x84

Läs mer

F7: I/O hantering. Asynkron och synkron busscykel 68000 Bussfördelning. Periferikretsar

F7: I/O hantering. Asynkron och synkron busscykel 68000 Bussfördelning. Periferikretsar 1 F7: I/O hantering Periferikretsar ADC, DAC, UART, etc. Databussar Seriella bussar I 2 C USB CAN Systembussar PCI VME Asynkron och synkron busscykel 68000 Bussfördelning 1 Periferikretsar ADC/DAC Räknare

Läs mer

Tentamen PC-teknik 5 p Lösningar och kommentarer

Tentamen PC-teknik 5 p Lösningar och kommentarer Tentamen PC-teknik 5 p Lösningar och kommentarer Program: Di2, Em3, Et3 Datum: 04-08-10 Tid: 13:30-18:30 Lokal E171 Hjälpmedel: Linjal, miniräknare, Instruktionsrepertoar för 8086 (utdelas), Lathund, Pacific

Läs mer

LABORATION. Datorteknik Y

LABORATION. Datorteknik Y LABORATION Datorteknik Y Avbrottsprogrammering på Darma Version 4.03 Februari 2019 (OA, KP) Namn och personnummer Godkänd 1 1 Inledning Syftet med laborationen är först att ge övning i avbrottsprogrammering

Läs mer

Concept V2.6. Quantum. Programmering via modem 2004-10-21

Concept V2.6. Quantum. Programmering via modem 2004-10-21 Concept V2.6 Quantum Programmering via modem 2004-10-21 INNEHÅLLSFÖRTECKNING 1 ALLMÄNT...3 2 HÅRDVARA OCH KABLAGE...4 2.1 KABLAGE MELLAN MODEM OCH PLC-SYSTEM...4 3 INSTÄLLNINGAR...5 3.1 INSTÄLLNINGAR I

Läs mer

ALU:n ska anslutas hur då?

ALU:n ska anslutas hur då? Aktivera Kursens mål: LV3 Fo7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

Datorsystem 2 CPU. Förra gången: Datorns historia Denna gång: Byggstenar i en dators arkitektur. Visning av Akka (för de som är intresserade)

Datorsystem 2 CPU. Förra gången: Datorns historia Denna gång: Byggstenar i en dators arkitektur. Visning av Akka (för de som är intresserade) Datorsystem 2 CPU Förra gången: Datorns historia Denna gång: Byggstenar i en dators arkitektur CPU Visning av Akka (för de som är intresserade) En dators arkitektur På en lägre nivå kan vi ha lite olika

Läs mer

Tentamen 3. EDAxxx Grundläggande Datorteknik DIT791 Grundläggande Datorteknik, GU. Måndag xx Oktober 20xx, kl

Tentamen 3. EDAxxx Grundläggande Datorteknik DIT791 Grundläggande Datorteknik, GU. Måndag xx Oktober 20xx, kl Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Tentamen 3 EDAxxx Grundläggande Datorteknik DIT791 Grundläggande Datorteknik, GU Måndag xx Oktober 20xx, kl. 8.30-12.30 Examinator

Läs mer

Digitala Projekt - Snake Grupp - 7. Erik Ljung, d01elj Erik Simmons, d01es 25 maj 2005

Digitala Projekt - Snake Grupp - 7. Erik Ljung, d01elj Erik Simmons, d01es 25 maj 2005 Digitala Projekt - Snake Grupp - 7 Erik Ljung, d01elj Erik Simmons, d01es 25 maj 2005 INNEHÅLL INNEHÅLL Innehåll 1 Introduktion 3 2 Hårdvara 3 2.1 Processor - Motorola 68008..................... 3 2.2

Läs mer

Laboration 4: Knappstuds Drivrutiner för att eliminera störningar.

Laboration 4: Knappstuds Drivrutiner för att eliminera störningar. ATMega16 Laborationer av Kjell 2 Rev:5 Datum: 29.09.2010 Page 1 of 7 Laboration 4: Knappstuds Drivrutiner för att eliminera störningar. Inledning: Laborationskortet EasyAVR6 har bland annat tryckknappar

Läs mer

Att läsa en manual. Exempel Timern ECT_16B8C. Läs den allmänna beskrivningen (Overview) Vi ser att grundfunktionen är en räknare med prescaler

Att läsa en manual. Exempel Timern ECT_16B8C. Läs den allmänna beskrivningen (Overview) Vi ser att grundfunktionen är en räknare med prescaler Att läsa en manual Exempel Timern ECT_16B8C Läs den allmänna beskrivningen (Overview) Vi ser att grundfunktionen är en räknare med prescaler 1 Läs om speciella egenskaper (Features) I övrigt har vi Input

Läs mer

Övning1 Datorteknik, HH vt12 - Talsystem, logik, minne, instruktioner, assembler

Övning1 Datorteknik, HH vt12 - Talsystem, logik, minne, instruktioner, assembler Övning1 Datorteknik, HH vt12 - Talsystem, logik, minne, instruktioner, assembler Talsystem Talsystem - binära tal F1.1) 2 n stycken tal från 0 till 2 n 1 F1.2) 9 bitar (512 kombinationer) Talsystem - 2-

Läs mer

Föreläsningsanteckningar 3. Mikroprogrammering II

Föreläsningsanteckningar 3. Mikroprogrammering II Föreläsningsanteckningar 3. Mikroprogrammering II Olle Seger 2012 Anders Nilsson 2016 1 Inledning Datorn, som vi byggde i förra föreläsningen, har en stor brist. Den saknar I/O. I denna föreläsning kompletterar

Läs mer

Kombinationskretsar. Föreläsning 4 Digitalteknik Mattias Krysander Institutionen för systemteknik

Kombinationskretsar. Föreläsning 4 Digitalteknik Mattias Krysander Institutionen för systemteknik Kombinationskretsar Föreläsning 4 Digitalteknik Mattias Krysander Institutionen för systemteknik Dagens föreläsning Laboration 1 Adderare Konstruktion med minne 3 Laborationsinformation TSEA51/52: Deadline

Läs mer

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll:

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll: F: Minneselement Innehåll: - Latchar - Flip-Flops - egister - Läs- och skrivminne (andom-access Memory AM) - Läsminne (ead Only Memory OM) Ett minneselements egenskaper Generellt sett så kan följande operationer

Läs mer

System S. Datorarkitektur - en inledning. Organisation av datorsystem: olika abstraktionsnivåer. den mest abstrakta synen på systemet

System S. Datorarkitektur - en inledning. Organisation av datorsystem: olika abstraktionsnivåer. den mest abstrakta synen på systemet Datorarkitektur - en inledning Organisation av datorsystem: olika abstraktionsnivåer System S den mest abstrakta synen på systemet A B C Ett högnivåperspektiv på systemet a1 b1 c1 a2 b3 b2 c2 c3 En mera

Läs mer

GPIO - General Purpose Input Output

GPIO - General Purpose Input Output GPIO - General Purpose Input Output Ur innehållet: Ideala och verkliga signaler Bitvis in- och utmatning Anslutning - fysiskt gränssnitt F407 - GPIO-modul tillämpningar Läsanvisningar: Arbetsbok avsnitt

Läs mer

Program kan beskrivas på olika abstrak3onsnivåer. Högnivåprogram: läsbart (för människor), hög abstrak3onsnivå, enkelt a> porta (fly>a 3ll en annan ar

Program kan beskrivas på olika abstrak3onsnivåer. Högnivåprogram: läsbart (för människor), hög abstrak3onsnivå, enkelt a> porta (fly>a 3ll en annan ar 1 Program kan beskrivas på olika abstrak3onsnivåer. Högnivåprogram: läsbart (för människor), hög abstrak3onsnivå, enkelt a> porta (fly>a 3ll en annan arkitektur), hårdvara osynlig Assembly- och maskinprogram:

Läs mer

Grundläggande datavetenskap, 4p

Grundläggande datavetenskap, 4p Grundläggande datavetenskap, 4p Kapitel 2 Datamanipulation, Processorns arbete Utgående från boken Computer Science av: J. Glenn Brookshear 2004-11-09 IT och Medier 1 Innehåll CPU ALU Kontrollenhet Register

Läs mer

Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1

Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1 Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1 Digitala kursmoment D1 Binära tal, talsystem och koder D2 Boolesk Algebra D3 Grundläggande logiska grindar D4 Minneselement, register,

Läs mer

Övningsuppgifter i Mikrodatorteknik 4p/5p

Övningsuppgifter i Mikrodatorteknik 4p/5p Övningsuppgifter i Benny Thörnberg Mittuniversitetet Inst. för Informationsteknologi och medier Hösten 2005 1 Exekvering av assemblerkod 1.1 Statusflaggors beteende Vad blir C-, N- och Z- flaggornas värden

Läs mer

Disposition av prototypkort

Disposition av prototypkort Mekinstruktioner Disposition av prototypkort För att undvika att prototypkortet inte får plats eller att man måste löda om en massa sladdar är det viktigt att man kollar innan att allt får plats på kortet

Läs mer

MCOX styrenhet. Datablad SDF00006SE Version 1.1 26/11/2014 Brandlarm. Programmeringsenhet för avancerade logiska styrningar

MCOX styrenhet. Datablad SDF00006SE Version 1.1 26/11/2014 Brandlarm. Programmeringsenhet för avancerade logiska styrningar MCOX styrenhet Programmeringsenhet för avancerade logiska styrningar MCOX är en programmerbar enhet för avancerade logiska styrningar i FX3NET brandlarmsystem. Den levereras i egen kapsling eller som instickskort

Läs mer

Exempel 1 på Tentamen med lösningar

Exempel 1 på Tentamen med lösningar Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Exempel 1 på Tentamen med lösningar Grundläggande datorteknik Examinator Kontaktperson under tentamen Tillåtna hjälpmedel Häfte

Läs mer

EDI021 Digitala projekt. Rapport LARMSYSTEM. Utförd av: Niklas Eklund E03 David Olsson E04. Inlämnad:

EDI021 Digitala projekt. Rapport LARMSYSTEM. Utförd av: Niklas Eklund E03 David Olsson E04. Inlämnad: EDI021 Digitala projekt Rapport LARMSYSTEM Utförd av: Niklas Eklund E03 David Olsson E04 Handledare: Bertil Lindvall Inlämnad: 2007-12-04 1 Abstract The market for security devices, both for consumers

Läs mer

2 / 3-axlig joystick med PWM-utgångar

2 / 3-axlig joystick med PWM-utgångar 2 / 3-axlig joystick BESKRIVNING JP är en 2 eller 3-axlig joystick, med möjlighet att styra upp till 6st dubbelverkande proportionalmagneter Utgångarna är proportionella mot joystickens rörelser Joystickens

Läs mer

SVAR TILL TENTAMEN I DATORSYSTEM, VT2013

SVAR TILL TENTAMEN I DATORSYSTEM, VT2013 Rahim Rahmani (rahim@dsv.su.se) Division of ACT Department of Computer and Systems Sciences Stockholm University SVAR TILL TENTAMEN I DATORSYSTEM, VT2013 Tentamensdatum: 2013-03-21 Tentamen består av totalt

Läs mer

Laboration 1: Styrning av lysdioder med en spänning

Laboration 1: Styrning av lysdioder med en spänning TSTE20 Elektronik Laboration 1: Styrning av lysdioder med en spänning v0.3 Kent Palmkvist, ISY, LiU Laboranter Namn Personnummer Godkänd Översikt I denna labroation ska en enkel Analog till Digital (A/D)

Läs mer

Galaxy Integrerad Fire RIO Med Kvitteringsenhet RS485

Galaxy Integrerad Fire RIO Med Kvitteringsenhet RS485 Tillgängligheten 3 417 01 Göteborg Tel.nr.031-652285 Fax.nr.031-510706 Utfärdad av: Göran Lövgren Galaxy Integrerad Fire RIO Med Kvitteringsenhet RS485 Reviderad: JJO Datum: 2009-12-08 Antal sid: 10 REV

Läs mer

LARMANLÄGGNING. Digitala Projekt, EITF11. Oskar von Knorring Emin Karimov Henrik Akej Handledare: Bertil Lindvall

LARMANLÄGGNING. Digitala Projekt, EITF11. Oskar von Knorring Emin Karimov Henrik Akej Handledare: Bertil Lindvall LARMANLÄGGNING Digitala Projekt, EITF11 Oskar von Knorring Emin Karimov Henrik Akej Handledare: Bertil Lindvall 1. Sammanfattning Vi har byggt ett larm vars syfte är att användas i hemmet. Larmet använder

Läs mer

PROGRAMMERING I NXC. Sammanfattning KUNGLIGA TEKNISKA HÖGSKOLAN

PROGRAMMERING I NXC. Sammanfattning KUNGLIGA TEKNISKA HÖGSKOLAN KUNGLIGA TEKNISKA HÖGSKOLAN PROGRAMMERING I NXC Namn: Michel Bitar 2012-08- 25 E- post: mbitar@kth.se Introduktionskurs i datateknik, II1310 Sammanfattning Intressant och lärorik laboration om att programmera

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Institutionen för Elektroteknik LABORATION LABORATIONSINSTRUKTION LOG/iC, PLD, kombinatorik, sekvensnät KURS Digitalteknik LAB NR 6 INNEHÅLL. Inledning 2. Prioritetskodare 3. Elektronisk

Läs mer

Övervakningskamera Digitala projekt 2006

Övervakningskamera Digitala projekt 2006 Övervakningskamera Digitala projekt 2006 Tove Henriksson E-02 Fredrik Lindell E-02 Handledare: Bertil Lindvall 24 maj 2006 Abstract Camera supervision is especially important in the society of today when

Läs mer

Instruktion för I/O-Kort, med USB-anslutning.

Instruktion för I/O-Kort, med USB-anslutning. Instruktion för I/O-Kort, med USB-anslutning. I/O-kortet har: 8 digitala ingångar. Avsedda för slutande kontakter. Gemensam plus-matning 15Volt. Öppen ingång = 0. 8 digitala utgångar. Utgångskrets typ

Läs mer

Programmera och ladda ny mjukvara till DT-serien. SatEdit V3 laddas ner från www.macab.com/helpdesk Ladda hem.

Programmera och ladda ny mjukvara till DT-serien. SatEdit V3 laddas ner från www.macab.com/helpdesk Ladda hem. Programmera och ladda ny mjukvara till DT-serien SatEdit V3 laddas ner från www.macab.com/helpdesk Ladda hem. DT-1000/1400/1470 Med handprogrammerare HP-01. Med programmet SatEdit V3 Programmering och

Läs mer

Datakommunikation med IR-ljus.

Datakommunikation med IR-ljus. Datakommunikation med -ljus. I den här uppgiften skall du kommunicera med hjälp av infrarött () ljus. Du skall kunna sända tecken från tangentbordet samt ta emot tecken och visa dem på skärmen. Genom att

Läs mer

Installatörs- och användarhandbok DI-623-21L

Installatörs- och användarhandbok DI-623-21L Installatörs- och användarhandbok UNITEL DI-623-21L Så fungerar porttelefonen. När det kommer en besökare trycker han # och Ditt anropsnummer (eller direktknappen med ditt namn på). Därefter ringer Din

Läs mer

5:3 Datorn och datorns delar

5:3 Datorn och datorns delar 5:3 Datorn och datorns delar Nu har vi gått igenom ett antal saker som gör det möjligt att få ihop en dator, och förstå hur den är uppbyggd. Här kommer en kort repetition: 1. Du förstår det binära talsystemet,

Läs mer