Digitalteknik EIT020. Lecture 15: Design av digitala kretsar

Storlek: px
Starta visningen från sidan:

Download "Digitalteknik EIT020. Lecture 15: Design av digitala kretsar"

Transkript

1 Digitalteknik EIT020 Lecture 15: Design av digitala kretsar November 2, 2015

2 Digitalteknikens kopplingar mot andra områden Mjukvara Hårdvara Datorteknik Kretskonstruktion Digitalteknik Elektronik Figure:, Digitalteknik L15:2,

3 DEL 1 - Datorers uppbyggnad Kurser som kommer: Datorteknik, Datorarkitektur,... Beteendet bestäms i mjukvara Byggblock: multiplexer, adressavkodare, ROM, ALU Hur sätter vi samman byggblocken för att få något som liknar en enkel dator?, Digitalteknik L15:4,

4 ALU exempel Xn 1,..., X1, X0 Yn 1,..., Y1, Y0 Flaggor O, C o Aritmetisk enhet AU Logisk enhet LU Styrsignaler S 2, S 1, S 0 C i MUX Flaggor Z, P, S U n 1,... U 1, U 0, Digitalteknik L15:5,

5 ALU input/output In: X n 1,... X 1, X 0 samt Y n 1,... Y 1, Y 0. Ut: U = U n 1,... U 1, U 0. In: Styrsignaler S 2, S 1, S 0 som tre styrsignaler. In: C i som svarar mot carry in. ALU:n ger även utsignaler i form av aggor som indikerar speciella händelser vid uträknandet av den valda funktionen. O sätts när AU genererar en overow; C o är en carry bit; Z sätts om U = 0; P ger pariteten av U samt S som sätts om U är negativt., Digitalteknik L15:6,

6 En enkel CPU BUSS Register X Register Y Instruktionsregister IR X Y ALU S2, S1, S0, Ci Instruktions avkodare Z, P, S, O, U Co Flaggregister Ackumulator U Programräknare PC BUSS, Digitalteknik L15:8,

7 Register och buss Ett n-bitars register är n minneselement (D-vippor) som håller n bitar i minnet. Registret har n insignaler och n utsignaler samt minst en ytterligare insignal som kan användas för att ladda in insignalvärdet i minnet. Bussen är logiskt en multiplexer. Implementering: three-state utgång till en grind. Med en three-state utgång kan utsignalen vara 0, 1 eller "högohmig". Det sistnämnda innebär i digitaltekniskt sammanhang att vi har ett avbrott på utsignalen. Det nns då en insignal som styr huruvida utsignalen är högohmig eller inte. Detta innebär att vi kan koppla samman era utsignaler från olika enheter på en gemensam buss så länge alla utom en av dessa utsignaler är högohmiga., Digitalteknik L15:9,

8 CPU - funktion CPU:n kommunicerar med ett yttre minne och hämtar in instruktioner i form av instruktionens operationskod (ett bitmönster). När operationskoden för en instruktion kommer in till CPU:n via bussen läggs den i instruktionsregistret, IR. För att veta var i det yttre minnet som CPU:n ska hämta nästa instruktion, nns ett register som innehåller adressen till nuvarande instruktion. Det kallas för Programräknare, PC. När CPU:n är färdig med den nuvarande instruktionen kommer den att räkna upp programräknaren och sedan begära att få läsa minnet på den nya minnesadressen som programräknaren nu pekar på., Digitalteknik L15:10,

9 CPU - Instruktionsavkodaren Instruktionsavkodare genererar styrsignalerna till alla enheter i CPU:n, och även till enheter utanför CPU:n. Den läser först in nästa instruktion i instruktionsregistret. Beroende på vilken instruktion det är så genererar den styrsignaler för läs/skriv till register och minne samt eventuella styrsignaler till ALU:n. När den är klar ska programräknaren uppdateras och därefter läser instruktionsavkodaren in nästa instruktion och så fortsätter det., Digitalteknik L15:11,

10 En enkel dator CPU Central Processing Unit Minne ROM, RWM I/O In/Ut-enheter BUSS (Databuss, Adressbuss, Styrbuss) Bussen är uppdelad: adressbuss, där vi då lägger adressen till minnet; databuss som innehåller de dataord som läses in eller ut. styrbussen styr vilka enheter som ska vara aktiva på bussen. I/O modulen har register och/eller minne som CPU:n kan läsa eller skriva till och dessa register (minne) står i direkt anslutning till en fysisk I/O del., Digitalteknik L15:12,

11 Instruktioner - exempel bitmönster Instruktion Funktion 0000dddd LDX ladda register X med värde dddd. 0001dddd LDY ladda register Y med värde dddd. 0010dddd ADD addera X med Y, resultat i U. 0011dddd ADDC addera X, Y och bit C från statusreg, resultat i 0100dddd DEC minska X med 1, resultat i U. 0101dddd JZ hoppa till adress dddd om aggan Z = dddd LXU ladda X med värdet av U. 0111dddd LYU ladda Y med värdet av U. 1000dddd AND bitvis AND med X och Y, resultat i U. 1001dddd XOR bitvis XOR med X och Y, resultat i U. 1010dddd OR bitvis OR med X och Y, resultat i U. 1011dddd INV bitvis invertering av X, resultat i U. 1100dddd MW skriv U i dataminnet på adress dddd. 1101dddd MRX ladda register X med värdet i dataminnet på adress 1110dddd MRY ladda register Y med värdet i dataminnet på adress 1111dddd JMP hoppa till adress dddd., Digitalteknik L15:14,

12 DEL 2 - Digital kretskonstruktion Hur hanterar vi en konstruktion som innehåller miljoner transistorer? Vilken typ av konstruktion ska vi välja, en processor, en FPGA eller en ASIC? Hur beskriver vi konstruktionen på ett stukturerat sätt?, Digitalteknik L15:15,

13 Klassicering av integrerade kretsar Tillverkning kräver hög kompetens och stora resurser. Därför nns endast ett litet antal halvledarfabrikanter i världen. Kundspecika kretsar (ASIC, application specic integrated circuits) Standardkretsar (FPGA, eld programmable gate array), Digitalteknik L15:16,

14 ASIC Full-custom ASIC, kunden levererar en fullständig specikation ner på lägsta nivån (transistor). Mycket komplex design. Standard-cell ASIC, Kunden beskriver sin design i form av standardceller, givna av tillverkaren. Allt från enkla grindar till microprocessorer. Gate array ASIC, Chipet har stora grindmatriser, där kunder specicerar förbindningsmönster., Digitalteknik L15:17,

15 Standardkretsar Funktionsbestämda: fabricerade komponenter (7400 serien med olika komponenter), mikroprocessorer, etc. Icke funktionsbestämda: PLD (programmable logic device), enkla programmerbara komponenter (PLA, PAL, ROM); Complex eld programmable devices, CPLD (complex programmable logic device) FPGA, Digitalteknik L15:18,

16 FPGA Integrerad krets, vars fysiska funktion kan ändras genom att ny programmering översänds genom anslutning av en enkel kabel. Kretsens funktionsbeskrivning kan laddas in direkt via en datalänk (JTAG) till en dator eller från minnen som till exempel RAM, ROM eller ashminne. Vissa FPGA-kretsar behåller kongurationen även efter förlust av elektrisk spänning., Digitalteknik L15:19,

17 17 7 Series FPGAs Overview DS180 (v1.16) October 8, 2014 Product Specification General Description Xilinx 7 series FPGAs comprise three new FPGA families that address the complete range of system requirements, ranging from low cost, small form factor, cost-sensitive, high-volume applications to ultra high-end connectivity bandwidth, logic capacity, and signal processing capability for the most demanding high-performance applications. The 7 series FPGAs include: Artix -7 Family: Optimized for lowest cost and power with small Virtex -7 Family: Optimized for highest system performance and form-factor packaging for the highest volume applications. capacity with a 2X improvement in system performance. Highest capability devices enabled by stacked silicon interconnect (SSI) Kintex -7 Family: Optimized for best price-performance with a 2X technology. improvement compared to previous generation, enabling a new class of FPGAs. Built on a state-of-the-art, high-performance, low-power (HPL), 28 nm, high-k metal gate (HKMG) process technology, 7 series FPGAs enable an unparalleled increase in system performance with 2.9 Tb/s of I/O bandwidth, 2 million logic cell capacity, and 5.3 TMAC/s DSP, while consuming 50% less power than previous generation devices to offer a fully programmable alternative to ASSPs and ASICs. Summary of 7 Series FPGA Features Advanced high-performance FPGA logic based on real 6-input lookup table (LUT) technology configurable as distributed memory. 36 Kb dual-port block RAM with built-in FIFO logic for on-chip data buffering. High-performance SelectIO technology with support for DDR3 interfaces up to 1,866 Mb/s. High-speed serial connectivity with built-in multi-gigabit transceivers from 600 Mb/s to maximum rates of 6.6 Gb/s up to Gb/s, offering a special low-power mode, optimized for chip-to-chip interfaces. A user configurable analog interface (XADC), incorporating dual 12-bit 1MSPS analog-to-digital converters with on-chip thermal and supply sensors. DSP slices with 25 x 18 multiplier, 48-bit accumulator, and pre-adder for high-performance filtering, including optimized symmetric coefficient filtering. Powerful clock management tiles (CMT), combining phase-locked loop (PLL) and mixed-mode clock manager (MMCM) blocks for high precision and low jitter. Integrated block for PCI Express (PCIe), for up to x8 Gen3 Endpoint and Root Port designs. Wide variety of configuration options, including support for commodity memories, 256-bit AES encryption with HMAC/SHA-256 authentication, and built-in SEU detection and correction. Low-cost, wire-bond, lidless flip-chip, and high signal integrity flipchip packaging offering easy migration between family members in the same package. All packages available in Pb-free and selected packages in Pb option. Designed for high performance and lowest power with 28 nm, HKMG, HPL process, 1.0V core voltage process technology and 0.9V core voltage option for even lower power. Table 1: 7 Series Families Comparison Maximum Capability Artix-7 Family Kintex-7 Family Virtex-7 Family Logic Cells 215K 478K 1,955K Block RAM (1) 13 Mb 34 Mb 68 Mb DSP Slices 740 1,920 3,600 Peak DSP Performance (2) 929 GMAC/s 2,845 GMAC/s 5,335 GMAC/s Transceivers Peak Transceiver Speed 6.6 Gb/s 12.5 Gb/s Gb/s Peak Serial Bandwidth (Full Duplex) 211 Gb/s 800 Gb/s 2,784 Gb/s PCIe Interface x4 Gen2 x8 Gen2 x8 Gen3 Memory Interface 1,066 Mb/s 1,866 Mb/s 1,866 Mb/s I/O Pins ,200 I/O Voltage 1.2V, 1.35V, 1.5V, 1.8V, 2.5V, 3.3V 1.2V, 1.35V, 1.5V, 1.8V, 2.5V, 3.3V 1.2V, 1.35V, 1.5V, 1.8V, 2.5V, 3.3V Package Options, Digitalteknik L15:20, Low-Cost, Wire-Bond, Lidless Flip-Chip Notes: 1. Additional memory available in the form of distributed RAM. Low-Cost, Lidless Flip-Chip and High-Performance Flip-Chip Highest Performance Flip-Chip

18 , Digitalteknik L15:21,

19 Digilent Inc. - Digital Design Engineer's Source Digilentinc.com Blog Learn Forum search digilentinc.com Search Products +Coming Soon +New Products FPGA Boards Cmod S6 ZYBO ZedBoard Anvyl Genesys Atlys Nexys 4 Nexys 3 Nexys 2 Basys 3 Basys 2 NetFPGA-1G-CML FMC Carrier S6 XUP V5 S3E Board CoolRunner-II Starter XUP V2-Pro NETFPGA Cmod +Scopes & Instruments +chipkit Boards +Microprocessor Boards +FMC Cards +Peripheral Modules +NI Academic Products +Analog Devices +Microchip Tools +Software +Programming Solutions +Robotic Platforms +Textbooks +Add-On Boards +Accessories +Discontinued Enter a value code: Submit Nexys 4 Artix-7 FPGA Board $ $ Currently in stock Part # P-KIT Hide Details The Nexys4 board is a complete, ready-to-use digital circuit development platform based on the latest Artix-7 Field Programmable Gate Array (FPGA) from Xilinx. With its large, high-capacity FPGA (Xilinx part number XC7A100T- 1CSG324C), generous external memories, and collection of USB, Ethernet, and other ports, the Nexys4 can host designs ranging from introductory combinational circuits to powerful embedded processors. Several built-in peripherals, including an accelerometer, temperature sensor, MEMs digital microphone, speaker amplifier and lots of I/O devices allow the Nexys4 to be used for a wide range of designs without needing any other components. The Artix-7 FPGA is optimized for high performance logic, and offers more capacity, higher performance, and more resources than earlier designs. Artix-7 100T features include: 15,850 logic slices, each with four 6-input LUTs and 8 flip-flops 4,860 Kbits of fast block RAM Six clock management tiles, each with phase-locked loop (PLL) 240 DSP slices Internal clock speeds exceeding 450MHz On-chip analog-to-digital converter (XADC) The Nexys4 also offers an improved collection of ports and peripherals, including: 16 user LEDs Two 4-digit 7-segment displays 16 user switches Two tri-color LEDs Micro SD card connector USB-UART Bridge PWM audio output PDM microphone 12-bit VGA output Temperature sensor 10/100 Ethernet PHY 3-axis accelerometer Serial Flash Four Pmod ports 16Mbyte CellularRAM Digilent USB-JTAG port for FPGA USB HID Host for mice, keyboards and Pmod for XADC signals programming and communication memory sticks The Nexys4 is compatible with Xilinx s new high-performance Vivado Design Suite as well as the ISE toolset, which includes ChipScope and EDK. Xilinx offers free Webpack versions of these toolsets, so designs can be implemented for no additional cost. If you would like an evaluation board for academic purposes, you can apply for a donation through the Xilinx University Program. Note: The Nexys4 s onboard programming circuitry is not supported by the Hardware Server in Vivado and earlier. The FPGA must be programmed using impact in these versions of Vivado. The Hardware Server in versions and newer fully support the Nexys4., Digitalteknik L15:22,

20 , Digitalteknik L15:23, Nexys 4 development board

21 Utvecklingsprocessen - Abstraktionsnivå Låg nivå Hög nivå Transistor nivå Grind nivå (timing - propagation delay; placement and routing on the chip) RTL (Register Transfer Level) nivå (moduler konstruerade från grindar/vippor) (abstrakta datatyper; beteendebeskrivning; gemensam klocksignal; stabila system; layout - oor plan) Processor nivå (större mer avancerade block), Tillverkare tillhandahåller IP's, exempelvis en microprocessor, Digitalteknik L15:24,

22 Utvecklingsprocessen - CAD CAD-verktyg används för att konstruera kretsar. Ett CAD-verktyg är ett datorprogram som automatiskt gör delar av konstruktionsarbetet. CAD-verktyg behöver en beskrivning av kretsens funktion på någon abstraktionsnivå. HDL (Hardware Description Language), exempelvis VHDL, Verilog, Digitalteknik L15:25,

23 Utvecklingsprocessen - CAD CAD-programmen kan göra följande Syntes - Översättning av beskrivningen på högre nivå till lägre nivå, för att till slut syntetisera till en färdig krets. Fysisk design beror på underliggande krets, exempelvis vilken FPGA som används. Veriering - På varje abstraktionsnivå kan veriering av beteendet av beskrivningen ske. Funktionsmässig veriering Timing veriering Simulering - utifrån dokumenterade önskemål analyserar CAD-programmet Testning (fysisk), funktionen hos varje färdig krets testas för att upptäcka defekter etc., Digitalteknik L15:26,

Digitalteknik EIT020. Lecture 16: Design av digitala kretsar

Digitalteknik EIT020. Lecture 16: Design av digitala kretsar Digitalteknik EIT020 Lecture 16: Design av digitala kretsar November 10, 2014 Digitalteknikens kopplingar mot andra områden Mjukvara Hårdvara Datorteknik Kretskonstruktion Digitalteknik Elektronik Figure:,

Läs mer

Digitalteknik EIT020. Lecture 15: Design av digitala kretsar

Digitalteknik EIT020. Lecture 15: Design av digitala kretsar Digitalteknik EIT020 Lecture 15: Design av digitala kretsar November 3, 2014 Digitalteknikens kopplingar mot andra områden Mjukvara Hårdvara Datorteknik Kretskonstruktion Digitalteknik Elektronik Figure:,

Läs mer

Design av digitala kretsar

Design av digitala kretsar Föreläsningsanteckningar Föreläsning 15 - Digitalteknik Design av digitala kretsar Efter att ha studerat fundamentala digitaltekniska områden, ska vi nu studera aspekter som gränsar till andra områden.

Läs mer

L15 Introduktion modern digital design

L15 Introduktion modern digital design L15 Introduktion modern digital design Upplägg LP2 F15 Introduktion till modern digital design F16 Kombinatoriska nät i VHDL F17 Sekvensnät i VHDL F18 Gästföreläsning (Advenica, fortsättningskurser) F19

Läs mer

Programmerbar logik och VHDL. Föreläsning 1

Programmerbar logik och VHDL. Föreläsning 1 Programmerbar logik och VHDL Föreläsning 1 Programmerbar logik och VHDL Programmerbar logik VHDL intro Upplägg, litteratur, examination Programmerbara kretsar Mikroprocessor Fix hårdvara som kan utföra

Läs mer

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM Innehåll Designflöde Översikt av integrerade kretsar Motivation Hardware Description Language CAD-verktyg 1 DESIGNFLÖDE FÖR DIGITALA

Läs mer

VHDL 1. Programmerbara kretsar

VHDL 1. Programmerbara kretsar VHDL 1 Programmerbara kretsar CPLD FPGA VHDL Kombinatorik with-select-when when-else Sekvensnät process case if-then-else Programmerbara kretsar PLD = programmable logic device CPLD = complex PLD, i princip

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit

Digitalteknik: CoolRunner-II CPLD Starter Kit CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna i digitalteknik kommer kortet att användas

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012 Med kommentarer för kursen ht 2012 2012 CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna

Läs mer

Laboration i digitalteknik Datablad

Laboration i digitalteknik Datablad Linköpings universitet Institutionen för systemteknik Datablad Datorteknik 216 Laboration i digitalteknik Datablad TSEA22 Digitalteknik D TSEA51 Digitalteknik, i, I, Ii TDDC75 Diskreta strukturer IT Linköpings

Läs mer

F1: Introduktion Digitalkonstruktion II, 4p. Digital IC konstruktion. Integrerad krets. System. Algorithm - Architecture. Arithmetic X 2.

F1: Introduktion Digitalkonstruktion II, 4p. Digital IC konstruktion. Integrerad krets. System. Algorithm - Architecture. Arithmetic X 2. 1 X2 IN Vdd OUT GND Översikt: F1: Introduktion Digitalkonstruktion II, 4p - Föreläsare: Bengt Oelmann - Kurslitteratur: "Principles of CMOS VLSI Design - A systems Perspective" - Föreläsningar: 16 - Räkneövningar:

Läs mer

F2: Motorola Arkitektur. Assembler vs. Maskinkod Exekvering av instruktioner i Instruktionsformat MOVE instruktionen

F2: Motorola Arkitektur. Assembler vs. Maskinkod Exekvering av instruktioner i Instruktionsformat MOVE instruktionen 68000 Arkitektur F2: Motorola 68000 I/O signaler Processor arkitektur Programmeringsmodell Assembler vs. Maskinkod Exekvering av instruktioner i 68000 Instruktionsformat MOVE instruktionen Adresseringsmoder

Läs mer

Laboration i digitalteknik Datablad

Laboration i digitalteknik Datablad Linköpings universitet Institutionen för systemteknik Datablad Datorteknik 2018 Laboration i digitalteknik Datablad TSEA22 Digitalteknik D TSEA51 Digitalteknik TSEA52 Digitalteknik I TDDC75 Diskreta strukturer

Läs mer

Läsminne Read Only Memory ROM

Läsminne Read Only Memory ROM Läsminne Read Only Memory ROM Ett läsminne har addressingångar och datautgångar Med m addresslinjer kan man accessa 2 m olika minnesadresser På varje address finns det ett dataord på n bitar Oftast har

Läs mer

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15.

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15. Aktivera Kursens mål: LV3 Fo7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning... UMEÅ UNIVERSITET Tillämpad fysik och elektronik 2014 John Berge et al. DIGITAL ELEKTRONIK Laboration DE3 VHDL 1 Namn... Personnummer... Epost-adress... Datum för inlämning... Introduktion Syftet med denna

Läs mer

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik Konstruktionsmetodik för sekvenskretsar Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik 2 Dagens föreläsning Initiering av starttillstånd Programmerbar logik Syntesflödet

Läs mer

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktionen beskriver grunderna för att använda programvaran Xilinx ISE WebPack 6.2.03 tillsammans med en CPLD (Complex Programmable Logic

Läs mer

LV6 LV7. Aktivera Kursens mål:

LV6 LV7. Aktivera Kursens mål: Aktivera Kursens mål: LV6 LV7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

Grindar och transistorer

Grindar och transistorer Föreläsningsanteckningar Föreläsning 17 - Digitalteknik I boken: nns ej med Grindar och transistorer Vi ska kort beskriva lite om hur vi kan bygga upp olika typer av grindar med hjälp av transistorer.

Läs mer

Digitalteknik och Datorarkitektur 5hp

Digitalteknik och Datorarkitektur 5hp Foto: Rona Proudfoot (some rights reserved) Vi skall nu kolla närmare på hur det går till när en instruktion utförs. Fetch = + Digitalteknik och Datorarkitektur hp path & Control maj 2 karl.marklund@it.uu.se

Läs mer

CanCom Bluetooth BLUETOOTH V5.6. Specifikation Specification LED. transceiver

CanCom Bluetooth BLUETOOTH V5.6. Specifikation Specification LED. transceiver CanCom Bluetooth transceiver BLUETOOTH V5.6 Specifikation Specification Matningsspänning Power supply 10-30 VDC Spänningsrippel Voltage ripple

Läs mer

Beijer Electronics AB 2000, MA00336A, 2000-12

Beijer Electronics AB 2000, MA00336A, 2000-12 Demonstration driver English Svenska Beijer Electronics AB 2000, MA00336A, 2000-12 Beijer Electronics AB reserves the right to change information in this manual without prior notice. All examples in this

Läs mer

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik

Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 Mikrodatorteknik Elektroteknik MF1016 föreläsning 9 MF1017 föreläsning 7 - Inbyggda system - Analog till digital signal - Utvecklingssystem, målsystem - Labutrustningen - Uppbyggnad av mikrokontroller - Masinkod, assemblerkod

Läs mer

Digital- och datorteknik, , Per Larsson-Edefors Sida 1

Digital- och datorteknik, , Per Larsson-Edefors Sida 1 Digitala it elektroniksystem t Professor Per Larsson-Edefors perla@chalmers.se Digital- och datorteknik, 101122, Per Larsson-Edefors Sida 1 Introduktion Konstruktionsalternativ Kretskort med diskreta standardkomponenter.

Läs mer

Läs igenom hela laboration 5 innan du börjar beskriva instruktionsavkodaren i VHDL!

Läs igenom hela laboration 5 innan du börjar beskriva instruktionsavkodaren i VHDL! MCU LABORATION5 Laborationens syfte Läs igenom hela laboration 5 innan du börjar beskriva instruktionsavkodaren i VHDL! I denna laboration ska en enkel MCU (Micro-Controller_Unit) konstrueras. En MCU,

Läs mer

Grundläggande datavetenskap, 4p

Grundläggande datavetenskap, 4p Grundläggande datavetenskap, 4p Kapitel 2 Datamanipulation, Processorns arbete Utgående från boken Computer Science av: J. Glenn Brookshear 2004-11-09 IT och Medier 1 Innehåll CPU ALU Kontrollenhet Register

Läs mer

802.11b Wireless router w. 4 port switch. StarTech ID: BR411BWDC

802.11b Wireless router w. 4 port switch. StarTech ID: BR411BWDC 802.11b Wireless router w. 4 port switch StarTech ID: BR411BWDC Share your Internet connection without being constrained by cables with StarTech.com s 802.11b wireless router. The BR411BWDC lets you share

Läs mer

Transistorn en omkopplare utan rörliga delar

Transistorn en omkopplare utan rörliga delar Transistorn en omkopplare utan rörliga delar Gate Source Drain Principskiss för SiGe transistor (KTH) Varför CMOS? CMOS-Transistorer är enkla att tillverka CMOS-Transistorer är gjorda av vanlig sand =>

Läs mer

Datormodell. Datorns uppgifter -Utföra program (instruktioner) Göra beräkningar på data Flytta data Interagera med omvärlden

Datormodell. Datorns uppgifter -Utföra program (instruktioner) Göra beräkningar på data Flytta data Interagera med omvärlden Datormodell Datorns uppgifter -Utföra program (instruktioner) Göra beräkningar på data Flytta data Interagera med omvärlden Intel 4004 från 1971 Maximum clock speed is 740 khz Separate program and data

Läs mer

IE1205 Digital Design: F11: Programmerbar Logik, VHDL för Sekvensnät

IE1205 Digital Design: F11: Programmerbar Logik, VHDL för Sekvensnät IE1205 Digital Design: F11: Programmerbar Logik, VHDL för Sekvensnät Programmable Logic Devices Under 1970-talet introducerades programmerbara logiska kretsar som betecknas programmable logic device (PLD)

Läs mer

Wi-Fi travel router for ipad and mobile devices

Wi-Fi travel router for ipad and mobile devices Wi-Fi travel router for ipad and mobile devices Product ID: R150WN1X1T This Wireless N travel router lets you create a portable wireless hot-spot from a wired network connection or an existing wireless

Läs mer

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Kursinformation VHDL-delen Lektion 7 : Datorlektion i VHDL+Xilinx [pdf] Lab 3 : Programmerbara

Läs mer

DIGITALA PROJEKT Väderstation

DIGITALA PROJEKT Väderstation DIGITALA PROJEKT Väderstation Christian Lindquist, E03 Leonardo Bello, E03 Abstract Almost everybody has some kind of temperature measurement device in their home. The latest in this industry are more

Läs mer

INTRODUKTION TILL VIVADO

INTRODUKTION TILL VIVADO INTRODUKTION TILL VIVADO LABORATION1 Under laborationerna kommer vi att konstruera/beskriva ett antal kretsar med hjälp av VHDL (Very high speed integrated circuit Hardware Description Language). För att

Läs mer

ALU:n ska anslutas hur då?

ALU:n ska anslutas hur då? Aktivera Kursens mål: LV3 Fo7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

Digital IC konstruktion

Digital IC konstruktion Digital IC konstruktion Viktor Öwall Transistorn: en förstärkare Power Supply Korrekt? gate drain source En transistor kan användas på många olika sätt, t.ex. för att förstärka en elektrisk signal. Ground

Läs mer

Alias 1.0 Rollbaserad inloggning

Alias 1.0 Rollbaserad inloggning Alias 1.0 Rollbaserad inloggning Alias 1.0 Rollbaserad inloggning Magnus Bergqvist Tekniskt Säljstöd Magnus.Bergqvist@msb.se 072-502 09 56 Alias 1.0 Rollbaserad inloggning Funktionen Förutsättningar Funktionen

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

Program kan beskrivas på olika abstrak3onsnivåer. Högnivåprogram: läsbart (för människor), hög abstrak3onsnivå, enkelt a> porta (fly>a 3ll en annan ar

Program kan beskrivas på olika abstrak3onsnivåer. Högnivåprogram: läsbart (för människor), hög abstrak3onsnivå, enkelt a> porta (fly>a 3ll en annan ar 1 Program kan beskrivas på olika abstrak3onsnivåer. Högnivåprogram: läsbart (för människor), hög abstrak3onsnivå, enkelt a> porta (fly>a 3ll en annan arkitektur), hårdvara osynlig Assembly- och maskinprogram:

Läs mer

PFC and EMI filtering

PFC and EMI filtering PFC and EMI filtering Alex Snijder Field Application Engineer Wurth Elektronik Nederland B.V. November 2017 EMC Standards Power Factor Correction Conducted emissions Radiated emissions 2 Overview of standard

Läs mer

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn.

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn. Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg Laboration nr 4 i digitala system ht-15 Ett sekvensnät.. grupp.. namn. godkänd Laborationens syfte: att ge grundläggande kunskaper i att

Läs mer

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll:

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll: F: Minneselement Innehåll: - Latchar - Flip-Flops - egister - Läs- och skrivminne (andom-access Memory AM) - Läsminne (ead Only Memory OM) Ett minneselements egenskaper Generellt sett så kan följande operationer

Läs mer

Introduktion till Arduino

Introduktion till Arduino Introduktion till Arduino CHRISTIAN ANTFOLK Faculty of Engineering LTH Dept of. Biomedical Engineering Christian Antfolk Slide 1 Preliminärt schema Faculty of Engineering LTH Dept of. Biomedical Engineering

Läs mer

Rubriker: Product & Services Technology Solutions Market Solutions Silicon Devices Design Tools Intellectual Property Boards & Kits

Rubriker: Product & Services Technology Solutions Market Solutions Silicon Devices Design Tools Intellectual Property Boards & Kits 2010-11-03/pls Rubriker: Product & Services Technology Solutions Market Solutions Silicon Devices Design Tools Intellectual Property Boards & Kits Programmable Logic DSP Embedded processing. Aerospace/Defence

Läs mer

Det finns en hemsida. Adressen är http://www.idt.mdh.se/kurser/ct3760/

Det finns en hemsida. Adressen är http://www.idt.mdh.se/kurser/ct3760/ CT3760 Mikrodatorteknik Föreläsning 1 Torsdag 2005-08-25 Upprop. Det finns en hemsida. Adressen är http://www.idt.mdh.se/kurser/ct3760/ Kurslitteratur är Per Foyer Mikroprocessorteknik. Finns på bokhandeln.

Läs mer

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Kursinformation Lektion 7 : Datorlektion i Modelsim+VHDL Lab 3 : Programmerbara kretsar och

Läs mer

4 grundregler. Minneshantering. Problemet. Windows minkrav

4 grundregler. Minneshantering. Problemet. Windows minkrav 4 grundregler 1. Man kan aldrig få för mycket minne 2. Minnet kan aldrig bli för snabbt Minneshantering 3. Minne kan aldrig bli för billigt 4. Programmens storlek ökar fortare än minnet i datorerna (känns

Läs mer

Introduktion till E-block och Flowcode

Introduktion till E-block och Flowcode Introduktion till E-block och Flowcode Vad är E-blocks? E-blocks är små kretskort som innehåller block av elektronik som man vanligtvis kan hitta elektroniska eller inbyggda system Det finns över 50 olika

Läs mer

Föreläsningsanteckningar 2. Mikroprogrammering I

Föreläsningsanteckningar 2. Mikroprogrammering I Föreläsningsanteckningar 2. Mikroprogrammering I Olle Seger 2012 Anders Nilsson 2016 Innehåll 1 Inledning 2 2 En enkel dator 2 3 Komponenter 3 3.1 Register............................... 3 3.2 Universalräknare..........................

Läs mer

Processor pipelining genom historien (Intel i9-intel i7)

Processor pipelining genom historien (Intel i9-intel i7) Processor pipelining genom historien (Intel i9-intel i7) Besnik Redzepi Lunds Universitet Abstrakt/Sammanfattning Syftet med denna uppsats är att jämföra Intels nya generation processorer och deras pipelining.

Läs mer

Beacon BluFi Bluzone. Givarna har mycket hög känslighet och kan mäta mycket små förändringar.

Beacon BluFi Bluzone. Givarna har mycket hög känslighet och kan mäta mycket små förändringar. Oversikt Systemet från Bluvision är ett helt nytt och effektivt sätt att övervaka utrustning. Det bygger på konceptet IoT (Internet Of Things) och att den övervakade utrustningen själv automatiskt ska

Läs mer

Konstruktionsmetodik för sekvenskretsar

Konstruktionsmetodik för sekvenskretsar Konstruktionsmetodik för sekvenskretsar Digitalteknik Föreläsning 7 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Inför laboration 2 Synkronisering av insignaler Asynkrona ingångar

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #23 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Översikt När flera minnesmoduler placeras i processorns

Läs mer

std_logic & std_logic_vector

std_logic & std_logic_vector VHDL VHDL - Very high speed integrated circuit Hardware Description Language VHDL är ett komplext språk, avsett för att beskriva digitala system på olika abstraktionsnivåer (beteende- och strukturmässigt).

Läs mer

Digitala System: Datorteknik ERIK LARSSON

Digitala System: Datorteknik ERIK LARSSON Digitala System: Datorteknik ERIK LARSSON Dator Primärminne Instruktioner och data Data/instruktioner Kontroll Central processing unit (CPU) Fetch instruction Execute instruction Programexekvering (1)

Läs mer

System S. Datorarkitektur - en inledning. Organisation av datorsystem: olika abstraktionsnivåer. den mest abstrakta synen på systemet

System S. Datorarkitektur - en inledning. Organisation av datorsystem: olika abstraktionsnivåer. den mest abstrakta synen på systemet Datorarkitektur - en inledning Organisation av datorsystem: olika abstraktionsnivåer System S den mest abstrakta synen på systemet A B C Ett högnivåperspektiv på systemet a1 b1 c1 a2 b3 b2 c2 c3 En mera

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #23 Översikt När flera smoduler placeras i processorns adressrum ansluts modulernas adressingångar till motsvarande ledningar i en. Övriga adressledningar i bussen

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2008-08-29 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Johan Eriksson Tel 070 589 7911 Tillåtna

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2012-12-17 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna hjälpmedel

Läs mer

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning

Datorteknik. Den digitala automaten. En dator måste kunna räkna! Register och bussanslutning Den digitala automaten Vägen från digitaltekniken till det kompletta styrsystemet Lund University, Sweden Insignaler Sekvensnät Utsignaler Kan vi betrakta insignalmönstret som en instruktion och det som

Läs mer

Det finns en handledning till kortet på hemsidan. AVR STK500.

Det finns en handledning till kortet på hemsidan. AVR STK500. Laboration 1 (ver 1) Uppgifter: AVR Studio 4.lnk Bli bekant med utvecklingskortet, och AVR studio. Skriva in program för binärräknare. Simulera detta samt ladda ner det till kortet. Förse ovanstående program

Läs mer

Datorkonstruktion. Datorkonstruktion 2018, 8hp

Datorkonstruktion. Datorkonstruktion 2018, 8hp Datorkonstruktion 1 Datorkonstruktion 2018, 8hp Anders Nilsson Anders.P.Nilsson@liu.se Mål: Ni ska i grupper om 3 teknologer konstruera en inbyggd dator. VGA-skärm FPGA-kort 1 Datorkonstruktion 2018, 8hp

Läs mer

Digital elektronik och inbyggda system

Digital elektronik och inbyggda system Digital elektronik och inbyggda system Per Larsson-Edefors perla@chalmers.se Digital elektronik och inbyggda system, 2019 Sida 1 Ett inbyggt system är uppbyggt kring en eller flera processorer, med en

Läs mer

F5 Introduktion till digitalteknik

F5 Introduktion till digitalteknik Exklusiv eller XOR F5 Introduktion till digitalteknik EDAA05 Roger Henriksson Jonas Wisbrant På övning 2 stötte ni på uttrycket x = (a b) ( a b) som kan utläsas antingen a eller b, men inte både a och

Läs mer

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik EDA 321 Digitalteknik syntes 2011-2012 Laboration 2 - VHDL 1. Enkelt sekvensnät 2. Trafikräknare i VHDL 3. Syntes av VHDL-kod Namn

Läs mer

IE1205 Digital Design: F3 : CMOS-kretsen, Implementeringsteknologier. Fredrik Jonsson KTH/ICT/ES

IE1205 Digital Design: F3 : CMOS-kretsen, Implementeringsteknologier. Fredrik Jonsson KTH/ICT/ES IE1205 Digital Design: F3 : CMOS-kretsen, Implementeringsteknologier Fredrik Jonsson KTH/ICT/ES fjon@kth.se Transistorn en omkopplare utan rörliga delar Gate Source Drain Principskiss för SiGe ( KTH )

Läs mer

Digital IC konstruktion

Digital IC konstruktion Digital IC konstruktion Viktor Öwall Transistorn: en förstärkare Power Supply Korrekt? gate drain source En transistor kan användas på många olika sätt, t.ex. för att förstärka en elektrisk signal. Ground

Läs mer

Adderare. Digitalteknik 7.5 hp distans: 4.6 Adderare 4.45

Adderare. Digitalteknik 7.5 hp distans: 4.6 Adderare 4.45 Digitalteknik 7.5 hp distans: 4.6 Adderare 4.45 Adderare Addition av två tal innebär att samma förfarande upprepas för varje position i talet. För varje position sakapas en summasiffra och en minnessiffra.

Läs mer

Michael Q. Jones & Matt B. Pedersen University of Nevada Las Vegas

Michael Q. Jones & Matt B. Pedersen University of Nevada Las Vegas Michael Q. Jones & Matt B. Pedersen University of Nevada Las Vegas The Distributed Application Debugger is a debugging tool for parallel programs Targets the MPI platform Runs remotley even on private

Läs mer

HF0010. Introduktionskurs i datateknik 1,5 hp

HF0010. Introduktionskurs i datateknik 1,5 hp HF0010 Introduktionskurs i datateknik 1,5 hp Välkommna - till KTH, Haninge, Datateknik, kursen och till första steget mot att bli programmerare! Er lärare och kursansvarig: Nicklas Brandefelt, bfelt@kth.se

Läs mer

Laboration 6. A/D- och D/A-omvandling. Lunds universitet / Fakultet / Institution / Enhet / Dokument / Datum

Laboration 6. A/D- och D/A-omvandling. Lunds universitet / Fakultet / Institution / Enhet / Dokument / Datum Laboration 6 A/D- och D/A-omvandling A/D-omvandlare Digitala Utgång V fs 3R/2 Analog Sample R R D E C O D E R P/S Skiftregister R/2 2 N-1 Komparatorer Digital elektronik Halvledare, Logiska grindar Digital

Läs mer

InstalationGuide. English. MODEL:150NHighGain/30NMiniUSBAdapter

InstalationGuide. English. MODEL:150NHighGain/30NMiniUSBAdapter miraclebox miraclewifi InstalationGuide English MODEL:150NHighGain/30NMiniUSBAdapter ENGLISH MIRACLE WIFI 150N & 300N USERMANUAL MIRACLEBOX.SE 1 ENGLISH Table of Contents Package Contents... 3 System Requirements

Läs mer

Struktur: Elektroteknik A. Digitalteknik 3p, vt 01. F1: Introduktion. Motivation och målsättning för kurserna i digital elektronik

Struktur: Elektroteknik A. Digitalteknik 3p, vt 01. F1: Introduktion. Motivation och målsättning för kurserna i digital elektronik Digitalteknik 3p, vt 01 Struktur: Elektroteknik A Kurslitteratur: "A First Course in Digital Systems Design - An Integrated Approach" Antal föreläsningar: 11 (2h) Antal laborationer: 4 (4h) Examinationsform:

Läs mer

Datorteknik. Tomas Nordström. Föreläsning 6. För utveckling av verksamhet, produkter och livskvalitet.

Datorteknik. Tomas Nordström. Föreläsning 6. För utveckling av verksamhet, produkter och livskvalitet. Datorteknik Tomas Nordström Föreläsning 6 För utveckling av verksamhet, produkter och livskvalitet. Föreläsning 6 Vad händer vid uppstart SoC och Kringkretsar, PIO Programmering i Assembler Lab2 genomgång

Läs mer

Introduktion till Arduino

Introduktion till Arduino Introduktion till Arduino CHRISTIAN ANTFOLK Faculty of Engineering LTH Dept of. Biomedical Engineering Christian Antfolk Slide 1 Preliminärt labschema LV4 LV5 LV6 7 Lab 1 Töjning & flöde? / Tobias Måndag

Läs mer

SOLAR LIGHT SOLUTION. Giving you the advantages of sunshine. Ningbo Green Light Energy Technology Co., Ltd.

SOLAR LIGHT SOLUTION. Giving you the advantages of sunshine. Ningbo Green Light Energy Technology Co., Ltd. 2017 SOLAR LIGHT SOLUTION Address:No.5,XingYeMiddleRoad,NingboFreeTradeZone,China Tel:+86-574-86812925 Fax:+86-574-86812905 Giving you the advantages of sunshine SalesServiceE-mail:sales@glenergy.cn Tech.ServiceE-mail:service@glenergy.cn

Läs mer

VHDL och laborationer i digitalteknik

VHDL och laborationer i digitalteknik V:1.1 VHDL och laborationer i digitalteknik Vid laborationskursen i digitalteknik används VHDL till alla laborationerna utom den första. VHDL är ett stort språk och enbart en liten del av språket behövs

Läs mer

LOG/iC2. Introduction

LOG/iC2. Introduction LOG/iC2 Introduction L00000 11110111111111111111111111111111111111111111* L04884 11111111111111111111111111111111111111111111* L04928 11111111011111111111111111111111111111101111* L04972 11111111101110111111111111111111111111011111*

Läs mer

Projektarbete. Nummerpresentatör

Projektarbete. Nummerpresentatör Institutionen för Informationsteknologi Lunds Tekniska Högskola Projektarbete Nummerpresentatör Av: Henrik Hall Mattias Larsson Romani Nous 2001-01-15 Abstract For the unexperienced student, designing

Läs mer

Monitor Audio ASB 2. Soundbar. Monitor Audios Nya soundbar är här! Den ultimata högtalaren för din tv. Monitor Audios soundbar är här!

Monitor Audio ASB 2. Soundbar. Monitor Audios Nya soundbar är här! Den ultimata högtalaren för din tv. Monitor Audios soundbar är här! Monitor Audio ASB 2 Soundbar Monitor Audios Nya soundbar är här! Den ultimata högtalaren för din tv Monitor Audios soundbar är här! Den ultimata högtalaren för din tv. Den första riktiga soundbaren som

Läs mer

Schenker Privpak AB Telefon VAT Nr. SE Schenker ABs ansvarsbestämmelser, identiska med Box 905 Faxnr Säte: Borås

Schenker Privpak AB Telefon VAT Nr. SE Schenker ABs ansvarsbestämmelser, identiska med Box 905 Faxnr Säte: Borås Schenker Privpak AB Interface documentation for web service packageservices.asmx 2012-09-01 Version: 1.0.0 Doc. no.: I04304b Sida 2 av 7 Revision history Datum Version Sign. Kommentar 2012-09-01 1.0.0

Läs mer

Foto: Rona Proudfoot (some rights reserved) Datorarkitektur 1. Datapath & Control. December

Foto: Rona Proudfoot (some rights reserved) Datorarkitektur 1. Datapath & Control. December Datorarkitektur Datapath & Control December 28 karl.marklund@it.uu.se Foto: Rona Proudfoot (some rights reserved) Vi skall nu kolla närmare på hur det går till när en instruktion utförs. Fetch PC = PC+4

Läs mer

Övning1 Datorteknik, HH vt12 - Talsystem, logik, minne, instruktioner, assembler

Övning1 Datorteknik, HH vt12 - Talsystem, logik, minne, instruktioner, assembler Övning1 Datorteknik, HH vt12 - Talsystem, logik, minne, instruktioner, assembler Talsystem Talsystem - binära tal F1.1) 2 n stycken tal från 0 till 2 n 1 F1.2) 9 bitar (512 kombinationer) Talsystem - 2-

Läs mer

350W ATX12V 2.2 Power Supply for HP and Compaq PC

350W ATX12V 2.2 Power Supply for HP and Compaq PC 350W ATX12V 2.2 Power Supply for HP and Compaq PC Product ID: ATXPW350HPCQ Designed to deliver more power than the original, this enhanced 350 Watt ATX12V Power Supply is an ideal replacement for many

Läs mer

Adderare. Digitalteknik 7.5 hp distans: 4.6 Adderare 4.45

Adderare. Digitalteknik 7.5 hp distans: 4.6 Adderare 4.45 Digitalteknik 7.5 hp distans: 4.6 Adderare 4.45 Adderare Addition av två tal innebär att samma förfarande upprepas för varje position i talet. För varje position sakapas en summasiffra oh en minnessiffra.

Läs mer

RADIATION TEST REPORT. GAMMA: 30.45k, 59.05k, 118.8k/TM1019 Condition D

RADIATION TEST REPORT. GAMMA: 30.45k, 59.05k, 118.8k/TM1019 Condition D RADIATION TEST REPORT PRODUCT: OP47AYQMLL Die Type: 147X FILE: OP47_LDR.xlsx DATE CODE: 95 GAMMA: 3.45k, 59.5k, 118.8k/TM119 Condition D GAMMA SOURCE: Co6 DOSE RATE: 8.6mRad(si)/s FACILITIES: University

Läs mer

Formula Blue. Digitala Projekt 8p. Jesper Ferm E02 Carl Hakenäs E04

Formula Blue. Digitala Projekt 8p. Jesper Ferm E02 Carl Hakenäs E04 Formula Blue Digitala Projekt 8p Jesper Ferm E02 Carl Hakenäs E04 Abstract The purpose with this project was to get some understanding how Bluetooth works and how to use it in practical applications. A

Läs mer

Moment 2 Digital elektronik. Föreläsning Inbyggda system, introduktion

Moment 2 Digital elektronik. Föreläsning Inbyggda system, introduktion Moment 2 Digital elektronik Föreläsning Inbyggda system, introduktion Jan Thim 1 Inbyggda system, introduktion Innehåll: Historia Introduktion Arkitekturer Mikrokontrollerns delar 2 1 Varför lär vi oss

Läs mer

Viktig information för transmittrar med option /A1 Gold-Plated Diaphragm

Viktig information för transmittrar med option /A1 Gold-Plated Diaphragm Viktig information för transmittrar med option /A1 Gold-Plated Diaphragm Guldplätering kan aldrig helt stoppa genomträngningen av vätgas, men den får processen att gå långsammare. En tjock guldplätering

Läs mer

Datorhistorik. Föreläsning 3 Datorns hårdvara EDSAC. Eniac. I think there is a world market for maybe five computers. Thomas Watson, IBM, 1943

Datorhistorik. Föreläsning 3 Datorns hårdvara EDSAC. Eniac. I think there is a world market for maybe five computers. Thomas Watson, IBM, 1943 Datorhistorik Föreläsning 3 Datorhistorik Datorns uppbyggnad, komponenter Processor, primärminne, sekundärminne Minneshierarkier Inbyggda system, stora datorer I think there is a world market for maybe

Läs mer

Cacheminne Intel Core i7

Cacheminne Intel Core i7 EDT621 Datorarkitekturer med operativsystem 7,5 hp 2015-12-07 Cacheminne i Intel Core i7 Författare: Adnan Karahmetovic Handledare: Erik Larsson Innehåll 1. Inledning... 1 1.1 Syfte... 1 1.2 Frågeställning...

Läs mer

En något mer detaljerad bild av en processor. De tre delarna i processorn är: Nere 3ll vänster finns e' antal register som används för a' lagra data.

En något mer detaljerad bild av en processor. De tre delarna i processorn är: Nere 3ll vänster finns e' antal register som används för a' lagra data. 1 3 4 Antag a' processorn ska exekvera instruk3onen ADD R1, R3. När instruk3onen är exekverad så a' processorn tagit innehållet i R1 och R3 och med hjälp av ALU:n är värdena adderade och resultatet är

Läs mer

En något mer detaljerad bild av en processor. De tre delarna i processorn är: Nere 3ll vänster finns e' antal register som används för a' lagra data.

En något mer detaljerad bild av en processor. De tre delarna i processorn är: Nere 3ll vänster finns e' antal register som används för a' lagra data. 1 2 3 Antag a' processorn ska exekvera instruk3onen ADD R1, R3. När instruk3onen är exekverad så a' processorn tagit innehållet i R1 och R3 och med hjälp av ALU:n är värdena adderade och resultatet är

Läs mer

Digitala projekt Elektro- och informationsteknik

Digitala projekt Elektro- och informationsteknik Digitala projekt Elektro- och informationsteknik Digitala projekt (I) VT1 huvudsakligen teori och VT2 konstruktionsarbete i projektlabb 10 hp motsvarar ca 7 veckor heltid! Godkännande; U, G Gruppstorlek;

Läs mer

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D Lars-Erik Cederlöf Per Liljas Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D1 2001-05-28 Tentamen omfattar 40 poäng, 2 poäng för varje uppgift. 20 poäng ger godkänd tentamen. Tillåtet

Läs mer

Datorteknik. Tomas Nordström. Föreläsning 2. För utveckling av verksamhet, produkter och livskvalitet.

Datorteknik. Tomas Nordström. Föreläsning 2. För utveckling av verksamhet, produkter och livskvalitet. Datorteknik Tomas Nordström Föreläsning 2 För utveckling av verksamhet, produkter och livskvalitet. Föreläsning 2 Check av övningar Von Neumann arkitekturen Minne, CPU, I/O Instruktioner och instruktionscykeln

Läs mer

Tentamen i Digitalteknik, EIT020

Tentamen i Digitalteknik, EIT020 Elektro- och informationsteknik Tentamen i Digitalteknik, EIT020 13 januari 2017, kl. 8-13 Skriv anonymkod och identifierare, eller personnummer, på alla papper. Börja en ny uppgift på ett nytt papper.

Läs mer

Introduction. Innovative scalable HPC. Dr. Holger Fröning October 2010

Introduction. Innovative scalable HPC. Dr. Holger Fröning October 2010 Introduction Innovative scalable HPC Dr. Holger Fröning October 2010 info@extoll.de History Design of complex HW/SW systems, Computer Architecture Group, Prof. Dr. U. Brüning, University of Heidelberg

Läs mer

0.1. INTRODUKTION 1. 2. Instruktionens opcode decodas till en språknivå som är förstålig för ALUn.

0.1. INTRODUKTION 1. 2. Instruktionens opcode decodas till en språknivå som är förstålig för ALUn. 0.1. INTRODUKTION 1 0.1 Introduktion Datorns klockfrekvens mäts i cykler per sekund, eller hertz. En miljon klockcykler är en megahertz, MHz. L1 cache (level 1) är den snabbaste formen av cache och sitter

Läs mer