TSEA22 Digitalteknik 2019!

Relevanta dokument
TSEA22 Digitalteknik 2019!

Sekvensnät vippor, register och bussar

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik Mattias Krysander Institutionen för systemteknik

IE1205 Digital Design: F9: Synkrona tillståndsautomater

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1

Försättsblad till skriftlig tentamen vid Linköpings universitet

IE1204 Digital Design

Digital- och datorteknik

Tentamen med lösningar i IE1204/5 Digital Design Måndag 27/

Programmerbar logik och VHDL. Föreläsning 4

SEKVENSKRETSAR. Innehåll

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl

Digital elektronik CL0090

TSEA22 Digitalteknik 2019!

Laboration i digitalteknik Introduktion till digitalteknik

Digitalteknik F12. Några speciella automater: register räknare Synkronisering av insignaler. Digitalteknik F12 bild 1

Digital Design IE1204

Sekvensnät. William Sandqvist

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen IE Digital Design Måndag 23/

Digitala system EDI610 Elektro- och informationsteknik

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar

Repetition delay-element

Repetition och sammanfattning av syntes och analys av sekvensnät

Konstruktionsmetodik för sekvenskretsar

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Digitalteknik F9. Automater Minneselement. Digitalteknik F9 bild 1

Tenta i Digitalteknik

Omtentamen IE Digital Design Måndag 14/

Omtentamen med lösningar i IE1204/5 Digital Design Fredag 10/

Asynkrona sekvensmaskiner

Tentamen med lösningar IE Digital Design Måndag 23/

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll:

Minneselement,. Styrteknik grundkurs. Digitala kursmoment. SR-latch med logiska grindar. Funktionstabell för SR-latchen R S Q Q ?

Tenta i Digitalteknik

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

Sekvensnät i VHDL del 2

Tentamen i IE Digital Design Fredag 21/

Låskretsar och Vippor

Repetition TSIU05 Digitalteknik Di/EL. Michael Josefsson

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

Digital Design IE1204

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15.

Omtentamen med lösningar IE Digital Design Måndag 14/

Digital Design IE1204

Tentamen IE Digital Design Fredag 15/

Tentamen med lösningar för IE1204/5 Digital Design Torsdag 15/

Digital- och datorteknik

Tentamen med lösningar i IE Digital Design Fredag 21/

Tentamen i IE1204/5 Digital Design Torsdag 29/

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2

IE1205 Digital Design: F13: Asynkrona Sekvensnät (Del 2)

DESIGN AV SEKVENTIELL LOGIK

Försättsblad till skriftlig tentamen vid Linköpings Universitet

Digital Design IE1204

LABORATIONER I DIGITALTEKNIK. för kurserna. TSEA22, lab 1-4 TSEA51, lab 1-3 TDDC75, lab 1,2

Sekvensnät Som Du kommer ihåg

Digital Design IE1204

Tentamen med lösningar i IE1204/5 Digital Design Torsdag 29/

Digital Design IE1204

F5 Introduktion till digitalteknik

Digital Design IE1204

LV6 LV7. Aktivera Kursens mål:

Tentamen i Digitalteknik TSEA22

Tentamen IE Digital Design Fredag 13/

Tentamen med lösningar i IE Digital Design Fredag 15/

Digital Design IE1204

Introduktion till digitalteknik

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Tentamen IE1204 Digital Design Måndag 15/

Digital Design IE1204

IE1204/5 Digital Design typtenta

Tentamen i Digitalteknik, EITF65

Tenta i Digitalteknik

IE1204/5 Digital Design typtenta

ALU:n ska anslutas hur då?

Kodlås. Kopplingsschema över kodlåset PAL-18

IE1204/IE1205 Digital Design

Exempel på LAX-uppgifter

Tentamen med lösningar IE Digital Design Fredag 13/

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D

Tentamen i IE1204/5 Digital Design måndagen den 15/

Grundläggande Datorteknik Digital- och datorteknik

Tentamen i Digitalteknik, TSEA22

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D

Institutionen för systemteknik, ISY, LiTH. Tentamen i. Tid: kl

Lösningsförslag till tentamen i Digitalteknik, TSEA22

Styrteknik distans: Minneselement, register, räknare, AD-omv D4:1

Design av mindre digitala system. Föreläsning Digitalteknik, TSEA52 Mattias Krysander Institutionen för systemteknik

Tenta i Digitalteknik

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD

Tenta i Digitalteknik

Tentamen i Digital Design

Tenta i Digitalteknik

Moment 2 - Digital elektronik. Föreläsning 2 Sekvenskretsar och byggblock

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna

Uppgift 12: Konstruera en elektronisk tärning. Resultatet av ett tärningskast ska visas på en 7- segmentindikator.

LABORATIONER I DIGITALTEKNIK. Laboration 3 Speciella sekvenskretsar

INNEHÅLL. Inledning...1. Talsystem...2. Logiska funktioner Logiska kretsar i praktiken Elektrostatisk urladdning (ESD)...

Transkript:

1(39) 2019 Mattias Krysander Ingemar Ragnemalm 1(39)

Föreläsning 5. Sekv1. enna föreläsning: Vippor Sekvensnät Moore och Mealy 2(39)2(39)

Förra föreläsningen: Labb 1. Adderare. Carryaccelerator Och ännu ett par kombinationskretsar. 3(39)3(39)

Labbutrustningen 4(39)4(39)

xi yi =1 Heladderaren ci =1 si xi yi yi xi 1 ci+1 ci+1 FA ci xi ci si yi ci 5(39)5(39)

Så nu kan jag addera godtyckligt stora tal y5 x5 y4 x4 y3 x3 y2 x2 y1 x1 y0 x0 c6 FA c5 FA c4 FA c3 FA c2 FA c1 FA c0 s5 s4 s3 s2 s1 s0 Trodde jag... 6(39)6(39)

Carryacceleratorn är ett vanligt grindnät, 2 nivåer plus inverterare. Carryaccelerator y4 x4 y3 x3 y2 x2 y1 x1 y0 x0 c5 FA c4 FA c3 FA c2 FA c1 FA c0 s4 s3 s2 s1 s0 7(39)7(39)

Hasard, kapplöpning Tidsförskjutningar kan ge egendomliga effekter a c z1 1 y b 1 z2 a b c z1 z2 z1 och z2 momentant 0 y "Glitch" i utsignal 8(39)8(39)

agens tema: Tid Time is an illusion, lunchtime doubly so - ouglas Adams, Liftarens guide till galaxen We have all the time in the world - George Lazenby, I hennes majestäts hemliga tjänst Time is all we got - Roger Moore, Helgonet 9(39)9(39)

Tidsberoende kretsar Sekvensnät: En krets vars funktion varierar över tiden. En krets med minne. Inte minne som i RAM utan mer lokalt inbyggt i kretsen. Exempel: SR-latch R 1 R' ' S 1 ' S' SR-latch 10(39)10(39

Exempel: SR-latch för eliminering av kontaktstudsar Nät för eliminering av kontaktstudsar Förlopp vid knapptryckning SR-latch (aktiv låg) Latch = Spärr, regel, lås. "Låskrets". 11(39)11(39

Exempel: Schmitt-trigger Grind med hysteres. Tenderar att behålla sitt gamla tillstånd om signalen är i osäkra området. u x Med hysteres u R Utan hysteres x C 7414 Enkel klockgenerator av Schmitt-trigger 12(39)12(39

Sekvenskretsar Kombinationskretsar - Utsignalerna beror bara på insignalernas nuvarande värden. - Insignalerna kommer parallellt Sekvenskretsar - Utsignalerna beror på insignalernas sekvenser. - Insignalerna kommer sekvensiellt. 13(39)13(39

Vippor och latchar Vippor och latchar kan behålla, minnas, ett värde över tid. Latchar kan ändra värde när som helst. e är asynkrona. Vippor ändrar värde vid bestämda tidpunkter, klockpulsen. e är synkrona. Sekvenskretsar kräver minnesfunktion, ett tillstånd. etta kan definiera av vippor eller latchar. S S R R Vippa = "flip-flop" SR-latch SR-vippa -vippa 14(39)14(39

Vad är klockan sade du? Klockpulsen är normalt en fyrkantvåg med jämna tidsintervall. En synkron krets får bara ändras när klockan ändras Kretsen kan vara positivt flanktriggad eller negativt flanktriggad. vs ändras när klockan går upp eller när den går ner. I kursen arbetar vi alltid med synkrona sekvensnät. 15(39)15(39

Varför synkront? Synkrona nät begränsas av klockfrekvensen, som måste vara låg nog för att alla delar skall hinna med. Systemet begränsas därmed till sin svagaste del. Asynkrona nät har potential att vara snabbare. men... Asynkrona nät har betydlig större tendens att uppvisa svåra fel. e är svåra att modellera och förutse beteendet. För synkrona nät finns stabila designmetoder. 16(39)16(39

-vippan Vår favoritvippa = "delay". Står för att -vippan fördröjer en signal med en klockpuls. Vår syn är snarare att -vippan behåller nuvarande tillstånd till klockpulsen för att sedan gå till nästa. -vippa 17(39)17(39

Andra vippor essa typer är mindre intressanta. e ger oftast bara mer komplicerade konstruktioner. S J T R Synkron SR-vippa S ettställer R nollställer SR odefinierat K JK-vippa J ettställer K nollställer JK växlar T-vippa T växlar = JK med J och K hopkopplade 18(39)18(39

-vippan och klockan Antag att vi har en vippa som är positivt flanktriggad. -vippa 19(39)19(39

Samma sak men negativt flanktriggad -vippa 20(39)20(39

Sekvensnät med -vippor Bilden i min startsida föreställer ett litet sekvensnät med - vippor en är tagen från framsidan av Per-Erik anielssons bok "igital teknik". 21(39)21(39

Tillståndet Ett sekvensnät befinner ig i varje ögonblick i ett tilstånd. etta definieras av värdet i -vipporna. Två -vippor: Tillstånden 00, 01, 10, 11. Nästa tillstånd bestäms av nuvarande tillstånd och insignalerna. 22(39)22(39

Moore och Mealy Vi skiljer på två typer av synkrona sekvenskretsar: Moore: Utsignalen beror enbart av tillståndet. Mealy: Utsignalen beror av tillståndet och insignalen. Moore: Synkroniserar alla signaler. Mealy: Snabbare svar. Ofta mindre. Edward F. Moore Moore-modellen 1956 George H. Mealy Mealy-modellen, 1955 23(39)23(39

Sekvensnät enligt Moore Kombinationskrets för nästa tillstånd Kombinationskrets för utsignal 24(39)24(39

Sekvensnät enligt Mealy Kombinationskrets för nästa tillstånd Kombinationskrets för utsignal 25(39)25(39

Tillståndsdiagram FSM, Finite State Machine -vippan som FSM =0 =1 =1 Tillståndstabell m.a.p. klockan C 0 1 X X 0 1 + 0 1 0 1 Oftare m.a.p. och -vippa =0 Tillståndet = skrivs i cirkeln 0 0 1 1 0 1 0 1 + 0 1 0 1 26(39)26(39

Analys av sekvenskretsar 1. Kretsschema 2. Booleska uttryck för utsignal och nästa tillstånd 3. Tillståndstabell 4. Tillstånddiagram 5. Kan vi se funktionen? 27(39)27(39

28(39)28(39

29(39)29(39

Tillståndsdiagrammet (Moore) 0 1 1 1 1 00/0 01/0 0 10/0 11/1 0 x 0 q1q0/u Tillstånd med utsignal Bågar med enbart insignal 30(39)30(39

Tillståndsdiagrammet (Mealy) 0/0 1/0 1/0 1/1 00 01 0/0 10 11 0/0 x/u -/0 q1q0 Tillstånd utan utsignal Bågar med insignal och utsignal 31(39)31(39

Grindning av klockan Frestande. åligt. Förbjudet i labbet Varför? Fördröjningar Hasard Grindning av klockan ger ett asynkront system Grinda insignaler. Utnyttja ENABLE-ingångar för att "stanna" en krets. SYSTEM- KLOCKA 1 SYSTEMKLOCKA 1 FEL - även om det är enklare RÄTT - synkront 32(39)32(39

Register Minne för lagring av ett ord. Vi såg dessa i datorskissen tidigare. Kan utföras med -vippor. din7 din6 din5 din4 din3 din2 din1 din0 dut7 dut6 dut5 dut4 dut3 dut2 dut1 dut0 33(39)33(39

din0 Register Men om vi vill behålla värdet då...? Välj mellan nytt och gammalt värde. Load MUX Kan också göras genom att beordra skrivning med klockpulsen, men det är inte rekommenderat. Grinda insignalen, inte klockpulsen dut0 34(39)34(39

Skiftregister Lagrar ett antal bitar som skiftas ett steg per klockpuls. Exempel: Skiftregister med -vippor, seriellt in, parallellt ut: din dut7 dut6 dut5 dut4 dut3 dut2 dut1 dut0 35(39)35(39

Räknare Sekvensnät som följer sekvenser av tal. Binära men även BC. =1 q0+ = d0 q1+ = d1 q0 q1 q1 0 0 1 1 q0 0 1 0 1 q1+ q1+ 0 1 1 0 1 1 0 0 Exempel: 2-bitarsräknare 36(39)36(39

Tillståndsdiagram för räknaren 00 01 11 10 37(39)37(39

Vippor med nollställning Vippor tillåter ofta asynkron noll- eller ettställning. Möjliggör start i känt tillstånd. Vcc Pr Cl Vad sägs om kondensatortricket...? u 38(39)38(39

NÄSTA FÖRELÄSNING Mer sekvenskretsar Realisering av sekvenskretsar Mer om räknare, register etc. 39(39)39(39