Räknare med sjusegmentdisplay

Storlek: px
Starta visningen från sidan:

Download "Räknare med sjusegmentdisplay"

Transkript

1 Räknare med sjusegmentdisplay I den här laborationen ska du styra en sjusegmentdisplay med en räknare, som stegas fram med en tryckknapp, ett steg i taget. Så här ser kopplingsschemat ut för den modul, MOD687-40, som du ska använda: Modulen ser ut så här: 1

2 När du slår på matningsspänningen ska displayen visa en "nolla", efter första knapptryckningen ska en "etta" visas, osv. När displayen så småningom visar en "nia" ska nästa tryckning ge en "nolla" och processen upprepas. Det är egentligen tre problem som ska lösas och det är faktiskt en god ide att lösa vart och ett av problemen för sig innan det hela sätts ihop till den slutgiltiga lösningen. 1. Alla mekaniska tryckknappar uppvisar kontaktstudsar när de trycks ned och släpps. Det tar så där 5-10 millisekunder för kontakten att stabilisera sig och under tiden alstras hundratals slutningar och brytningar. Du ska ju använda din tryckknapp för att alstra en enda klockpuls till en räknare, så vi måste lista ut något sätt att få tryckknappen att alstra en enda puls per tryckning. 2. Vi måste hitta en räknare som räknar från 0 till 9 och sedan börjar om från början igen. 3. Sjusegmentdisplayen måste drivas från räknaren så att den korrekta kombinationen lysdioder tänds för varje siffra. Låt oss börja från början med tryckknappen! Avstudsning av tryckknapp Det finns flera metoder. Ett sätt är att filtrera signalen från knappen med ett lågpassfilter (ett RC-nät) och mata in signalen på en Schmitt-trigger innan den används. Den metoden fungerar inte i vårt fall, eftersom hårdvaran redan är klar och det inte finns vare sig filter eller Schmitt-trigger tillgängliga! I vårt fall finns det en bättre metod. Tryckknappen SW1 är av växlande typ med två pull-up-motstånd R2 och R3. Om ingen trycker på knappen är CPLD pin nummer P54 jordad och P56 ligger på +5V. När knappen är nedtryckt ligger P54 på +5V och P56 är jordad. När knappen är på väg mellan de två lägena har studsarna upphört och både P54 och P56 ligger temporärt på +5V, ända tills knappen växlar tillstånd, studsar, och stannar i det nya läget. Vad som behövs för att avstudsa en sådan anordning är helt enkelt en SR-vippa (ibland kallad SRlatch) bestående av två korskopplade NAND-grindar. Switchen visas "otryckt": 2

3 I det visade läget på switchen är A = 0. Men om en ingång på en NAND-grind är "0" måste utgången vara "1", d v s X = 1. Den undre NAND-grindens båda ingångar är alltså båda "1". Detta är just villkoret som innebär att utgången Y = 0. Den övre NAND-grindens båda ingångar är tydligen "0" men det ändrar inget, X = 1 i alla fall. Hade switchen ritats i andra läget, alltså nedtryckt, skulle av symmetriskäl utgången istället varit "1". Hmm. Hade det inte gått precis lika bra att använda switchen direkt? Det verkar ju som om utgången följer switchen i alla fall, så vad är det då för finess med SR-vippan? Nej, det är bara det att vi ännu inte studerat vad som sker då switchen studsar och det är det som är hela finessen! Låt oss gå tillbaka till ursprungsläget på switchen, då den är "otryckt" och utgången Y = 0. Låt oss sedan trycka på knappen och studera vad som händer i allra första ögonblicket. A blir momentant "1" när switchkontakten öppnas. Detta ändrar dock ingenting på den övre NAND-grindens utgång, eftersom dess andra ingång fortfarande är "0". Utgången Y är fortfarande "0". Om switchkontakten studsar tillbaka blir det fortfarande ingen ändring på Y. Studsarna maskeras alltså av vippan. Inte förrän switchkontakten jordar den undre NAND-grindens B-ingång händer något. Eftersom den ena av den undre NAND-grindens ingångar då blir "0", blir utgången Y = 1 och vippan kantrar. Även om kontakten nu studsar ett tag innan den stabiliseras i det nya läget så står vippan envist kvar med Y = 1 och maskerar studsarna. Samma sak upprepas när kontakten släpps, Y = 0 vid första studsen då ingången A jordas och vippan står sedan kvar i detta läge. Det här arrangemanget är perfekt att ta till i alla sammanhang när mekaniska omkopplare ska styra digitala ingångar. Du kommer att använda det många gånger i CPLD-sammanhang. Starta nu Xilinx Foundation som vanligt genom att dubbelklicka på Project Manager-ikonen. Se till att du markerar "Create a New Project" och tryck på OK. 3

4 Ett fönster "New Project" visas. Fyll i namnet på det nya projektet, sjuseg. Se också till att "Schematic" är förprickat och att de tre rutorna i understa raden i tur och ordning visar XC9500, 95108PC84 och 15 och tryck på OK. Projektmanagern visar nu det nya projektet sjuseg och tittar du noga efter kan du också se att rätt kretstyp valts (95108PC84-15). Starta schemainmatningen genom att klicka på "AND-grinden" på den övre vänstra knappen märkt Design Entry: Programmet är nu redo för schemainmatningen! Du ska nu rita schemat för avstudsningsvippan, komplett med alla IPAD och IBUF. Använd samma signalnamn som på det tidigare visade schemat och sätt också ut pin-nummer, enligt det schema för MOD som visades allra först. Placera ingångarna längst uppe till vänster på schemat. 4

5 Så här ser resultatet ut: Spara filen (File-Save), generera en nätlista (Options-Create Netlist), klicka på OK, och exportera nätlistan (Options-Export Netlist). Se till att filnamnet är sjuseg och att filtypen är Edif 200 [*.EDN] och klicka på OK. Gå tillbaka till Projektmanagern. Tryckknappen för Design Entry har nu en grön bock som markering att allt är klart att gå vidare: Nu är det dags att simulera avstudsningen! Starta simulatorn genom att klicka på knappen Simulation. Simulatorfönstret kommer upp blankt och du ska nu ange vilka signaler du vill studera. Klicka därför på Signal-Add Signals. Detta 5

6 fönster visas då: Du ska studera signalerna A, B, X och Y. Dubbelklicka därför, i tur och ordning, på A, B, X och Y. En röd bock indikerar att respektive signal är vald. Klicka sedan på Close. Simulatorfönstret visar nu vilka signaler som valts: A och B är de två insignalerna till avstudsningsvippan. Vi vill kunna stimulera A med tangenten a och B med tangenten b, d v s sätta godtyckliga insignaler. Klicka på Signal-Add Stimulators. Detta fönster visas: 6

7 Så här tillordnar du tangenten a till signalen A: Klicka på signalen A längst till vänster, så att den blåmarkeras, klicka sedan på bilden av tangenten a i fönstret. Tillordna tangenten b till signalen B på motsvarande sätt. Så här blir resultatet: Du kan se att a respektive b tillordnats signalerna genom att de visas med rött vid signalerna. Observera att simulatorn skiljer på stora och små bokstäver. Det är små bokstäver som gäller, så du måste se till att Caps Lock är avstängd på 7

8 tangentbordet. Klicka på Close när du är klar. Om du nu trycker på tangenten a på tangentbordet ser du hur du kan påverka nivån på signalen A. Otryckt knapp innebär att A ska vara 0, så ställ in A = 0. Likaså ska B vara 1 för otryckt knapp, så ställ in B = 1. Ändra simulatorns tidskala till 10ns/div genom att klicka på den fintandade kammen alldeles ovanför signalerna. Den fintandade kammen ökar tidskalan och den glestandade minskar den. Kör simuleringen ett steg genom att klicka på Stegknappen (ser ut som fotspår ): Så här blir resultatet: Utsignalen X blev en etta och Y en nolla. Det stämmer perfekt, gå tillbaka till toppen på sidan 2 och kolla! Nu ska du själv genomföra en knapptryckning i slow motion genom att först sätta A = 1, stega, simulera en studs genom att A = 0, stega, sätt A = 1 och stega. Sätt sedan B = 0, stega, simulera studs genom att B = 1, stega, sätt B = 0 och stega. Nu är alltså knappen simulerad nedtryckt! Stega ett par gånger till utan att ändra något. Dags att släppa knappen genom att sätta B = 1, stega, simulera en studs genom att B = 0, stega, sätt B = 1 och stega. Nu nalkas knappen utgångsläget. Sätt A = 1, stega, simulera en studs genom att A = 0, stega, sätt A = 1 och stega. 8

9 Så här blir det: Som du ser ignorerar vippan alla studsar och utsignalen Y blir en enda snygg fyrkantpuls per fullständig knapptryckning, precis som vi ville ha det! OK, dags att gå vidare med nästa deluppgift, nämligen räknaren. Räknaren Det här blir en mycket enklare uppgift! En en-bitars räknare kan räkna 0, 1. En två-bitars räknare kan räkna 0, 1, 2, 3 En tre-bitars räknare kan räkna 0, 1, 2, 3, 4, 5, 6, 7 En fyra-bitars räknare kan räkna 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 En räknare som kan räkna mellan 0 och 9 måste alltså ha fyra bitar, tre blir för lite. Om du vill kan du modifiera räknesekvensen hos en fyra-bitars binärräknare med hjälp av avkodning och styrning av reset-ingången så att den börjar om från noll igen efter nio. Detta är dock inte nödvändigt, det finns nämligen färdiga dekadräknare i komponentlistan! Starta schemaeditorn igen och gå in och titta på komponentlistan. Där finns t ex CD4CE, som är en dekadräknare, eller BCDcounter med 4 bitar. Det första C:et betyder Counter, alltså räknare, D betyder Decimal (hade det stått B hade det betytt Binary), 4 betyder fyra bitar, nästa C betyder att räknaren har asynkron Clear, alltså nollställning, och E betyder Clock Enable, d v s att klockan kan grindas. Hämta CD4CE, och lägg in den på schemat till höger om avstudsningsvippan. Koppla CE (Clock Enable) till VCC och CLR (Clear) till GND. 9

10 Så här blir det: De fyra små röda och gröna kvadraterna vid vippan är minnen av simuleringen som gjordes. Om du har schemafönstret uppe samtidigt som du kör simulatorn visar dessa små kvadrater de aktuella logiska tillstånden i kretsen. Rött betyder ett och grönt noll. Det är nu dags att kolla om räknaren fungerar som utlovat. Egentligen borde vi simulera tryckknappen genom att manipulera A och B, men det går ju åt en hel del tryckande för att generera en enda klockpuls och det blir ganska jobbigt och lätt att göra fel. Dessutom vet vi att avstudsningen fungerar så det är dessutom meningslöst! Som väl är finns det ett mycket enklare sätt att förse räknaren med klockpulser under simuleringen, som du strax ska se. För att du ska kunna analysera resultatet av simuleringen måste vi också kunna titta på räknarens utgångar Q0, Q1, Q2 och Q3. Så här ska du göra: Starta först simulatorn genom att gå tillbaka till Projektmanagern och klicka på knappen Simulation. Simulatorfönstret kommer upp igen. Börja med att ta bort alla gamla kurvformer genom att klicka på knappen Delete Waveforms, som ser ut som ett tidsdiagram med ett rött kors på: 10

11 Ett varningsfönster visas: Klicka på OK och klicka sedan på knappen ON för att preparera en ny simuleringsomgång. Resultatet ser ut så här: Nu ska vi först se hur en klocksignal kan tillordnas räknaren. Klicka på Signal- Add Stimulator, klicka sedan på signalen Y så den blåmarkeras och klicka slutligen på den övre högra gula lysdioden i raden Bc: Klicka sedan på Close. De sexton gula lysdioderna representerar en 16-bitars 11

12 räknare, som kan användas som stimuli för simulatorn. Lysdioden längst till höger representerar räknarens minst signifikanta bit, kallad B0. Dess frekvens kan väljas med Options-Preferences. Klicka på tabben Simulation och se till att B0 = 100MHz. Klicka på OK. Vi ska kolla ytterligare ett par inställningar hos simulatorn. Se till att följande inställningar gäller: 2ns/div (klicka på kammarna ) Functional 50ns (steglängd) Det går åt ytterligare preparation innan det är dags att köra! Du vill ju kunna studera utgångarna från räknaren så de måste finnas med i tidsdiagrammet. Tidigare har du använt signalnamn du själv skrivit in i schemat som referens, t ex Y, men några sådana finns inte på räknarens utgångar. Gör så här: Klicka på Signal-Add signals. Detta fönster visas: 12

13 I mittkolumnen visas alla kretssymboler som används. Du känner säkert igen dina IPAD, IBUF o s v. Där återfinns även räknaren, CD4CE. Klicka på den! I högra kolumnen visas nu alla in- och utgångar hos CD4CE: Klicka på de fyra utgångarna du vill studera, nämligen Q0, Q1, Q2 och Q3. De markeras med röda bockar. Klicka på Close. Nu finns alla signaler med! A, B, X, Y, Q0, Q1, Q2 och Q3. Markeringen $17 är numret på kretssymbolen CD4CE i schemat. Schemaeditorn tillordnar själv automatiskt dessa nummer, i tur och ordning som symbolerna placeras ut. Ditt eget schema kan alltså ha en annan markering om du ritade i en annan ordningsföljd. Kör simulatorn 200 ns genom att klicka fyra gånger på stegknappen (4 gånger 50 ns = 200 ns). 13

14 Så här ser det ut: Du ser klocksignalen B0 med periodtiden 10ns, vilket motsvarar 100MHz. Innan den allra första klockpulsen är samtliga fyra räknarutgångar nollor. Efter den första klockpulsen (egentligen dess positiva flank) har Q0 blivit en etta medan övriga förblir nollor. Om du noggrant går igenom sekvensen klockpuls för klockpuls ser du att räknarens innehåll ökas med ett för varje klockpuls. Skriv ned de binära talen så du är säker på att du förstår hur räknaren fungerar. Observera att räknaren startar om igen från noll när den räknat till nio (binärt 1001), precis som vi ville ha det. Två av delmålen, avstudsningen och räknaren, är nu klara och testade. Dags att ta itu med sjusegmentdisplayen! Sjusegmentdisplayen Enligt schemat på sidan 1 benämns de sju segmenten så här: 14

15 Din uppgift är att se till att rätt kombination segment tänds för vart och ett av räknarens tio tillstånd. När räknaren är nollställd ska alltså alla element utom G vara tända (DP betyder Decimal Point, vi bryr oss inte om att tända den). När räknaren räknat till ett ska bara elementen B och C vara tända, o s v. Om du tittar på schemat ser du ytterligare en detalj att tänka på. Lysdioddisplayen är av typ Gemensam Anod, d v s alla elementens anoder är hopkopplade och går till +5V. Varje enskild katod går via ett 220Ω motstånd till respektive CPLD-utgång. För att ett element ska tändas ska alltså motsvarande CPLD-utgång vara en nolla. En etta släcker elementet. T ex elementet A tänds om utgången P70 = 0. För att styra displayen behövs en omkodare, med räknarens fyra bitar som insignaler och displayens sju segment som utsignaler. Tabellen visar sambandet mellan insignaler och utsignaler: Gå igenom tabellen för varje siffra och rita vilka element som är tända. Det hade förstås varit praktiskt om det funnits en sjusegmentavkodare som komponent i schemaeditorn, men det gör det inte! Du kan dock utan större svårighet konstruera en avkodare själv med hjälp av de schemakomponenter som finns tillgängliga. Vi ska emellertid gå ett steg längre, du ska nämligen själv tillverka en sjusegmentavkodare som ska sparas som en sjusegmentavkodarekomponent, som du sedan direkt kan använda i andra konstruktioner. Detta kallas att göra ett macro och är en mycket arbetsbesparande finess. Macron kan tillverkas på flera olika sätt, t ex genom att rita ett schema (som du ska göra), eller genom att skriva en beskrivning med hjälp av ett högnivåspråk som ABEL eller VHDL. Hur man än gör blir resultatet detsamma, en ny komponent. Du ska kalla den nya komponenten sju_dec (förkortning av sjusegmentdecoder). Du startar det hela genom att starta schemaeditorn igen och sedan klicka på File- New Sheet. Du har nu en blank schemablankett, färdigt att rita på. Innan du gör det ska du spara det nya tomma schemat under namnet sju_dec.sch. Gör så här: 15

16 Klicka på File-Save As, skriv namnet sju_dec.sch och klicka på OK. Nu glömmer du i varje fall inte bort att filen ska ha detta namn när schemat är klart! Rita nu sjusegmentavkodaren med hjälp av tabellen på föregående sida. Använd gärna en en-av-sexton omkodare, kallad D4_16E, som hjälp. D står för decoder, d v s omkodare, 4_16 anger att den har 4 ingångar och 16 utgångar och E betyder att omkodaren har en ingång enable, som måste vara 1 för att omkodaren ska fungera. D4_16E fungerar alltså på så sätt att endast en enda utgång är 1 för varje insignalkombination. T ex ingångarna 0000 gör utgången D0 = 1, 0001 gör D1=1 o s v. Använd sedan OR-grindar för att tända segmenten. Så här kan resultatet se ut (det finns många sätt att komma fram till samma mål!): Du är tvungen att sätta ut terminaler med namnen Q0, Q1, Q2 och Q3 för ingångarna och A, B, C, D, E, F och G för utgångarna. Terminalerna hittar du när du drar ledningar i schemat. När du kommer till slutet av en ledning klickar du med höger musknapp, varvid ett litet fönster med olika möjligheter visas. Du ska då klicka på Add Terminal med vänster musknapp. Ett nytt fönster visas där du väljer in- eller utgång, anger terminalens namn och klickar OK. När ditt nya schema är klart sparar du med File-Save. Klicka sedan på Hierarchy-Create Macro Symbol from Current Sheet. 16

17 Följande fönster visas då: Kontrollera att alla ingångar och utgångar finns med och klicka på OK. Ett nytt fönster visas: Klicka på No. Schemat sju_dec.sch stängs då automatiskt. Ditt schema finns nu sparat som en symbol med namnet sju_dec i schemaeditorns komponentlista! Om komponentlistan inte syns kan du ta fram den genom att klicka på komponentmodknappen vid vänstra kanten: Komponentlistan visas: Den nya komponenten SJU_DEC står allra först i listan, under rubriken SJUSEG, som ju är namnet på ditt projekt. Fortsättningsvis kan du vid behov hämta SJU_DEC även till nya projekt med bibliotekseditorn, den kommer alltså inte med automatiskt när du gör nya projekt. För tillfället behöver du inte veta något om bibliotekseditorn, så vi ignorerar den just nu. 17

18 Klicka på SJU_DEC och lägg in den i ditt originalschema, alldeles till höger om räknaren. Koppla ihop räknarens fyra utgångar med motsvarande ingångar på sjusegmentavkodaren. Koppla in en OBUF och en OPAD per utgång, skriv signalnamnen efter respektive OBUF och sätt ut pinnummer med hjälp av schemat på sidan 1. Så här ser det ut: Det lönar sig alltid att kolla schemat ett par extra gånger innan man går vidare. Här finns två fel! Vi har kallat en av ingångarna till avstudsningsvippan A och en av utgångarna som ska driva ett elemet i displayen A. Samma sak med B! Men kan det spela någon större roll? Ja, verkligen! Punkter i schemat med samma signalnamn kopplas nämligen ihop i nätlistan. Det blir allstså samma effekt som om du kopplat ihop ingången A på den övre NAND-grinden med utgången P70 och ingången B på den undre NAND-grinden med utgången P68. Ingen bra idé! A och B på NAND-grindarna har spelat ut sin roll i alla fall, så du kan ta bort dem. Gå in i editeringsmod, d v s klicka på knappen Klicka sedan på signalnamnet A vid den övre NAND-grinden. A rödmarkeras. Ta bort A med tangenten Delete på tangentbordet. Ta bort B på samma sätt. Det är alltid bra att skriva dit signalnamn på alla in- och utgångar, det underlättar simuleringen. Utgångarna är redan markerade, låt oss sätta signalnamnen U och V på de två ingångarna. Så här blir det korrigerade schemat: 18

19 Spara med File-Save, gör nätlista med Options-Create Netlist. En varning visas i Projektmanagerns nedre fönster. Varningen utfärdas därför att att räknarens utgångar CEO och TC inte är anslutna. Dessa används dock bara när flera räknare är kaskadkopplade. Du kan alltså ignorera varningen! Klicka slutligen på Options-Export Netlist och exportera filen som en Edif200-fil. Ditt schema är klart och det är dags att simulera. Starta simulatorn och se till att signalerna C, Q0, Q1, Q2 och Q3 på räknaren CD4CE samt signalerna A, B, C, D, E, F och G finns med. tillordna stimulatorn B0 till klockingången C på CD4CE. Stega 150ns, d v s klicka tre gånger på stegknappen. Så här blir resultatet: Dags att se om det stämmer! Börja längst till vänster i tidsdiagrammet, innan första klockpulsen. De fyra utgångarna från räknaren är alla nollor. Titta nu i tabellen på sidan 15. När räknaren innehåller 0000 ska tydligen alla segmentutgångarna A, B, C, D, E och F vara nollor och G = 1. Jämför nu med simulatorns tidsdiagram. Det stämmer! Fortsätt nu att analysera de resterande nio tillstånden så du är säker på att sjusegmentavkodaren fungerar. Om det inte stämmer är det något fel på avkodaren och du kan gå tillbaka till schemat sju_dec.sch, rätta felet, gå igenom proceduren med nätlistgenereringen etc så många gånger som behövs för att få det hela att fungera. Simulatorn är ett enormt kraftfullt verktyg för avlusning av konstruktioner. När allt är klart är det dags att köra implementeringen. Gå tillbaka till Projektmanagern och klicka på Implementation. När det är klart visas ett fönster som säger att allt är klart. Du avslutar med att klicka på OK. 19

20 Nu ska SVF-filen genereras, så att du kan ladda ner din konstruktion i kretsen. Klicka på Programming. Programmeringsfönstret kommer upp: Klicka på Output-Create SVF File. Ett fönster SVF Options visas. Klicka på OK. Fönstret Create a New SVF File visas. Programmet föreslår en plats att lagra filen som tyvärr blir ganska svår att hitta senare. Lägg istället filen direkt i sjuseg-foldern. Du kommer dit genom att klicka tre gånger på mappsymbolen. Så här ser det slutligen ut: Klicka på Save. För att generera SVF-filen klickar du på Operations-Program. Ett fönster Options visas, där du ska se till att det står en bock i rutan vid Erase Before Programming och klicka på OK. Då börjar filen genereras. När den är klar står det All operations were completed successfully. Kvittera med OK och stäng programmeringsfönstret. Du får frågan om du ska spara ändringarna i sjuseg. Klicka på No. Ett steg återstår innan SVF-filen kan laddas ned i kortet. Du ska nämligen flytta SVF-filen till foldern CPLD. Starta Utforskaren, leta rätt på SVF-filen som ligger i folden C-Fndtn-Active-Projects-sjuseg och flytta den till CPLD-foldern. 20

21 Nu ska du koppla ihop sjusegementmodulen med CPLD-modulen, koppla in spänningen samt ansluta CPLD-modulen till datorns parallellport. När det är gjort är det äntligen dags att ladda ned din konstruktion i CPLD-modulen. Öppna ett DOS-fönster, byt bibliotek till C:\CPLD, skriv xsload sjuseg och tryck på Enter. Nedladdningen tar ungefär 15 sekunder, tiden markeras med en rad plustecken. När nedladddningen är klar tänds en nolla i displayen och du kan testa din design genom att stega genom en sekvens. Om du hittar något fel får du fixa problemet i schemat och gå igenom processen tills allt fungerar som det ska. Lycka till! 14 januari 2001 Anders Andersson 21

Tärning med ABEL-macro

Tärning med ABEL-macro Tärning med ABEL-macro I den här laborationen ska du konstruera en tärning med en räknare, som stegas fram då en tryckknapp trycks ned och som visar tärningsmönstret då knappen släpps. Så här ser kopplingsschemat

Läs mer

Omkopplare, inverterare och LED

Omkopplare, inverterare och LED Omkopplare, inverterare och LED En ganska fånig digital funktion, kanhända, men idén är att du ska ha en enkel krets att testa med. Du får möjlighet att kolla alla funktioner hos utvecklingssystemet utan

Läs mer

Laboration i digitalteknik Introduktion till digitalteknik

Laboration i digitalteknik Introduktion till digitalteknik Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 6 Laboration i digitalteknik Introduktion till digitalteknik TSEA Digitalteknik D TSEA5 Digitalteknik Y TDDC75

Läs mer

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn.

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn. Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg Laboration nr 4 i digitala system ht-15 Ett sekvensnät.. grupp.. namn. godkänd Laborationens syfte: att ge grundläggande kunskaper i att

Läs mer

Kodlås. Kopplingsschema över kodlåset PAL-18

Kodlås. Kopplingsschema över kodlåset PAL-18 Kodlås I den här uppgiften skall du konstruera ett kodlås med hjälp av ett litet tangentbord. Varje gång man trycker på en tangent skall det pipa i summern och när man tryckt in den rätta fyrsiffriga koden

Läs mer

Vad är en UART? Universal Asynchronous Receiver Transmitter parallella seriella parallell åttabitars signal mest signifikant bit

Vad är en UART? Universal Asynchronous Receiver Transmitter parallella seriella parallell åttabitars signal mest signifikant bit Vad är en UART? Beteckningen UART är en förkortning för det engelska uttrycket Universal Asynchronous Receiver Transmitter, vilket översatt till svenska blir ungefär Universell Asynkron Mottagare/Sändare.

Läs mer

Sekvensnät i VHDL del 2

Sekvensnät i VHDL del 2 Laboration 6 i digitala system ht-16 Sekvensnät i VHDL del 2 Realisering av Mealy och Moore i VHDL............................. Namn............................. Godkänd (datum/sign.) 2 Laborationens syfte

Läs mer

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson, John Berge 203 DIGITALTEKNIK I Laboration DE2 Sekvensnät och sekvenskretsar Namn... Personnummer... Epost-adress... Datum för

Läs mer

(2B1560, 6B2911) HT08

(2B1560, 6B2911) HT08 Royal Institute of Technology, KTH, Kista School of Information and Communication Technology, ICT Department of Electronics, Computer and Software, ECS Digital Design, IE1204 (2B1560, 6B2911) HT08 OBS!

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2017 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D Linköpings universitet SE-581 83

Läs mer

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning... UMEÅ UNIVERSITET Tillämpad fysik och elektronik 2014 John Berge et al. DIGITAL ELEKTRONIK Laboration DE3 VHDL 1 Namn... Personnummer... Epost-adress... Datum för inlämning... Introduktion Syftet med denna

Läs mer

KALKYLATOR LABORATION4. Laborationens syfte

KALKYLATOR LABORATION4. Laborationens syfte LABORATION4 KALKYLATOR Laborationens syfte I denna laboration ska en enkel kalkylator konstrueras med hjälp av VHDL och utvecklingsverktyget Vivado från Xilinx. Hårdvaran realiseras på det redan bekanta

Läs mer

Introduktion till xdigiflex-simulatorn

Introduktion till xdigiflex-simulatorn Introduktion till xdigiflex-simulatorn Installera simulatorprogrammet xdigiflex om detta inte är gjort tidigare. (Det finns en länk till ett installationsprogram på kurshemsidan.) Starta sedan xdigiflex!

Läs mer

D/A- och A/D-omvandlarmodul MOD687-31

D/A- och A/D-omvandlarmodul MOD687-31 D/A- och A/D-omvandlarmodul MOD687-31 Allmänt Modulen är helt självförsörjande, det enda du behöver för att komma igång är en 9VAC väggtransformator som du kopplar till jacket J2. När du så småningom vill

Läs mer

Introduktion till syntesverktyget Altera Max+PlusII

Introduktion till syntesverktyget Altera Max+PlusII Lunds Universitet LTH Ingenjörshögskolan Ida, IEA Helsingborg Laboration nr 5 i digitala system, ht-12 Introduktion till syntesverktyget Altera Max+PlusII Beskrivning i VHDL och realisering av några enkla

Läs mer

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktionen beskriver grunderna för att använda programvaran Xilinx ISE WebPack 6.2.03 tillsammans med en CPLD (Complex Programmable Logic

Läs mer

Flödesschema som visar hur man använder Quartus II.

Flödesschema som visar hur man använder Quartus II. Flödesschema som visar hur man använder Quartus II. För att det skall bli lite enklare använder jag följande exempel: ut1

Läs mer

Felsökning av bakgavellyft

Felsökning av bakgavellyft Felsökning av bakgavellyft Indikering och avläsning i nya generationens lyft. Felsökning av bakgavellyft 4.1 Felsökning av programstyrd bakgavellyft Indikering och avläsning Styrkortet är bestyckad med

Läs mer

Simulera med ModelSim

Simulera med ModelSim Simulera med ModelSim ModelSim - simuleringsprogramvara ModelSim kan användas till att simulera VHDL-kod, för att avgöra om den är "rätt" tänkt. Alteras version av ModelSim är också kopplad till en "databas"

Läs mer

TSIU05 Digitalteknik. LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System

TSIU05 Digitalteknik. LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System 1 TSIU05 Digitalteknik LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System Sammanställning september 2013 Läs detta först Läs igenom hela laborationen så du vet vad du skall göra på laborationspasset. Hela

Läs mer

Laborationshandledning

Laborationshandledning Laborationshandledning Utbildning: ED Ämne: TNGE11 Digitalteknik Laborationens nummer och titel: Nr 5 Del A: Schmittrigger Del B: Analys av sekvensnät Laborant: E-mail: Medlaboranters namn: Handledarens

Läs mer

Gran Canaria - Arbetsbeskrivning knapplänkar (Mediator 8)

Gran Canaria - Arbetsbeskrivning knapplänkar (Mediator 8) Gran Canaria - Arbetsbeskrivning knapplänkar (Mediator 8) I detta exempel kommer du att lära dig Att skapa en ny presentation från början Att skapa en enkel knapp Att använda händelseinställningar, events

Läs mer

6. Nu skall vi ställa in vad som skall hända när man klickar på knappen samt att markören skall ändra sig till en hand när markören är på knappen.

6. Nu skall vi ställa in vad som skall hända när man klickar på knappen samt att markören skall ändra sig till en hand när markören är på knappen. Fiskar Arbetsbeskrivning knappmeny (Mediator 8) I detta exempel kommer du att lära dig Att göra en mastersida med knappar Att använda en mastersida på andra sidor Att använd funktionen Alignment Arbetsgång

Läs mer

Försättsblad till skriftlig tentamen vid Linköpings universitet

Försättsblad till skriftlig tentamen vid Linköpings universitet Försättsblad till skriftlig tentamen vid Linköpings universitet Datum för tentamen 08-03-3 Sal (5) Tid 8- Kurskod TSEA Provkod TEN Kursnamn/benämning Provnamn/benämning Institution Antal uppgifter som

Läs mer

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl Tentamen TSEA22 Digitalteknik 5 juni, 2015, kl. 08.00-12.00 Tillåtna hjälpmedel: Inga. Ansvarig lärare: Mattias Krysander Visning av skrivningen sker mellan 10.00-10.30 den 22 juni på Datorteknik. Totalt

Läs mer

PROGRAMMERING AV MCU LABORATION6. Laborationens syfte

PROGRAMMERING AV MCU LABORATION6. Laborationens syfte PROGRAMMERING AV MCU LABORATION6 Laborationens syfte I denna laboration ska MCUn som konstruerades i laboration 5 programmeras. Instruktionerna som vi har tillgång till är de som implementerades i instruktionsavkodaren

Läs mer

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D Lars-Erik Cederlöf Tentamen i Grundläggande ellära och digitalteknik ET 3 för D 999-3-5 Tentamen omfattar 4 poäng, 2 poäng för varje uppgift. 2 poäng ger godkänd tentamen. Tillåtet hjälpmedel är räknedosa.

Läs mer

Du har följande material: 1 Kopplingsdäck 2 LM339 4 komparatorer i vardera kapsel. ( ELFA art.nr datablad finns )

Du har följande material: 1 Kopplingsdäck 2 LM339 4 komparatorer i vardera kapsel. ( ELFA art.nr datablad finns ) Projektuppgift Digital elektronik CEL08 Syfte: Det här lilla projektet har som syfte att visa hur man kan konverterar en analog signal till en digital. Här visas endast en metod, flash-omvandlare. Uppgift:

Läs mer

Uppgift 12: Konstruera en elektronisk tärning. Resultatet av ett tärningskast ska visas på en 7- segmentindikator.

Uppgift 12: Konstruera en elektronisk tärning. Resultatet av ett tärningskast ska visas på en 7- segmentindikator. Uppgift 12: Konstruera en elektronisk tärning. Resultatet av ett tärningskast ska visas på en 7- segmentindikator. Tärningen ska ha två utfallsrum: U 1 = {1, 2, 3, 4, 5, 6, 6, 6} U 2 = {1, 2, 3, 4, 5,

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit

Digitalteknik: CoolRunner-II CPLD Starter Kit CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna i digitalteknik kommer kortet att användas

Läs mer

Repetition TSIU05 Digitalteknik Di/EL. Michael Josefsson

Repetition TSIU05 Digitalteknik Di/EL. Michael Josefsson Repetition TSIU05 Digitalteknik Di/EL Michael Josefsson Här kommer några frågeställningar och uppgifter du kan använda för att använda som egenkontroll på om du förstått huvudinnehållet i respektive föreläsning.

Läs mer

Guide till att använda Audacity för uttalsövningar

Guide till att använda Audacity för uttalsövningar Guide till att använda Audacity för uttalsövningar Victoria Johansson Humlabbet, SOL-center, Lund IT-pedagog@sol.lu.se 28 mars 2006 1 Inledning Audacity är ett program som kan användas för att spela in

Läs mer

Paneler - VCPXX.2. Programmeringsmanual för VCP-paneler. Revision 2

Paneler - VCPXX.2. Programmeringsmanual för VCP-paneler. Revision 2 Paneler - VCPXX.2 Programmeringsmanual för VCP-paneler Revision 2 Innehållsförteckning Innehållsförteckning... 2 1 Symbolfiler för kommunikation via IndraLogic... 3 2 Uppsättning i IndraWorks... 6 3 Programmering

Läs mer

Tentamen i Digital Design

Tentamen i Digital Design Kungliga Tekniska Högskolan Tentamen i Digital Design Kursnummer : Kursansvarig: 2B56 :e fo ingenjör Lars Hellberg tel 79 7795 Datum: 27-5-25 Tid: Kl 4. - 9. Tentamen rättad 27-6-5 Klagotiden utgår: 27-6-29

Läs mer

Laborationshandledning för mätteknik

Laborationshandledning för mätteknik Laborationshandledning för mätteknik - digitalteknik och konstruktion TNE094 LABORATION 2 Laborant: E-post: Kommentarer från lärare: Institutionen för Teknik och Naturvetenskap Campus Norrköping, augusti

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2018 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D TSEA51 Digitalteknik Y TSEA52 Digitalteknik

Läs mer

TSEA22 Digitalteknik 2019!

TSEA22 Digitalteknik 2019! 1(43) 2019 Mattias Krysander Ingemar Ragnemalm 1(43) Föreläsning 7. Sekv3. enna föreläsning: Lösningar närmare verkligheten Synkronisering Enpulsare Problem till design 2(43)2(43) Förra föreläsningen:

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #9 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola ekvensnät Vad kännetecknar ett sekvensnät? I ett sekvensnät

Läs mer

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D Lars-Erik ederlöf Per Liljas Tentamen i Grundläggande ellära och digitalteknik ET 03 för D 200-08-20 Tentamen omfattar 40 poäng, 2 poäng för varje uppgift. 20 poäng ger godkänd tentamen. Tillåtet hjälpmedel

Läs mer

Tentamen i IE1204/5 Digital Design måndagen den 15/

Tentamen i IE1204/5 Digital Design måndagen den 15/ Tentamen i IE1204/5 Digital Design måndagen den 15/10 2012 9.00-13.00 Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista IE1204), Tentamensuppgifterna

Läs mer

LiTH Lab1: Asynkron seriell dataöverföring via optisk länk Laboration 1. Asynkron seriell dataöverföring via optisk länk

LiTH Lab1: Asynkron seriell dataöverföring via optisk länk Laboration 1. Asynkron seriell dataöverföring via optisk länk Lab: 2007-09-06 Laboration Asynkron seriell dataöverföring via optisk länk Kravspecifikation Lennart Bengtsson Version.4 Granskad Godkänd Status Lennart Bengtsson Sida PROJEKTIDENTITET Laborationsgrupp,

Läs mer

LEJON LABORATION3. Laborationens syfte

LEJON LABORATION3. Laborationens syfte LABORATION3 LEJON Laborationens syfte Syftet med laborationen är dels att lära känna laborationsutrustningen och dels att få en uppfattning om hur en digital konstruktion är uppbyggd, i detta fallet med

Läs mer

Laborationshandledning

Laborationshandledning Laborationshandledning Utbildning: ED Ämne: TNE094 Digitalteknik och konstruktion Laborationens nummer och titel: Nr 5 Del A: Schmittrigger Del B: Analys av sekvensnät Laborant: E-mail: Medlaboranters

Läs mer

1 Photo Story Steg 1 - Hämta och fixa dina bilder

1 Photo Story Steg 1 - Hämta och fixa dina bilder 1 Photo Story Microsoft Photo Story är ett program för att skapa bildberättelser. Det är gratis att ladda hem från Microsofts webbplats för Photo Story. Programmet fungerar bara om du har Windows XP. Se

Läs mer

BRUKSANVISNING GSM-MODUL DBG5. Version 1.00 Utgåva 1

BRUKSANVISNING GSM-MODUL DBG5. Version 1.00 Utgåva 1 BRUKSANVISNING GSM-MODUL DBG5 Version 1.00 Utgåva 1 Revision: 2016-09-29 FAAC Nordic AB BOX 125, SE-284 22 PERSTORP SWEDEN TEL. +46 435 77 95 00 FAX +46 435 77 95 29 www.daab.se Innehåll Allmän information...3

Läs mer

LABORATIONER I DIGITALTEKNIK. för kurserna. TSEA22, lab 1-4 TSEA51, lab 1-3 TDDC75, lab 1,2

LABORATIONER I DIGITALTEKNIK. för kurserna. TSEA22, lab 1-4 TSEA51, lab 1-3 TDDC75, lab 1,2 204 LABORATIONER I DIGITALTEKNIK för kurserna TSEA22, lab -4 TSEA5, lab -3 TDDC75, lab,2 Detta häfte innehåller laborationsuppgifter i digitalteknik och används i kurserna TSEA22, TSEA5 och TDDC75. Läs

Läs mer

INTRODUKTION TILL OrCAD

INTRODUKTION TILL OrCAD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Agneta Bränberg 23-3-27 INTRODUKTION TILL OrCAD Laboration E1 ELEKTRO Personalia: Namn: Kurs: Datum: Återlämnad (ej godkänd): Rättningsdatum Kommentarer

Läs mer

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare Sekvensiella System a(t) f(a(t)) Ett sekvensiellt system har ett inbyggt minne - utsignalen beror därför BÅDE av insignalens NUVARANDE

Läs mer

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012 Med kommentarer för kursen ht 2012 2012 CR:1 CoolRunner-II CPLD Starter Kit är ett litet utvecklingssystem för Xilinx-kretsen XC2C256. Utvecklingskortet kommer från företaget Digilent. Vid laborationerna

Läs mer

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll:

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll: F: Minneselement Innehåll: - Latchar - Flip-Flops - egister - Läs- och skrivminne (andom-access Memory AM) - Läsminne (ead Only Memory OM) Ett minneselements egenskaper Generellt sett så kan följande operationer

Läs mer

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Christer Ardlin/Lars Wållberg/ Dan Weinehall/Håkan Joëlson 2008-01-24 v 2.1 ELEKTRONIK Digitalteknik Laboration D181 Kombinatoriska kretsar,

Läs mer

Kortlaboration DIK. Digitalteknik, kombinatorik.

Kortlaboration DIK. Digitalteknik, kombinatorik. MMK, KTH Kortlaborationer 1 Kortlaboration DIK Digitalteknik, kombinatorik. I denna laboration bekantar vi oss med datorprogrammet LabVIEW. Programmet har blivit något av en industristandard för att automatisera

Läs mer

Sekvensnät. William Sandqvist

Sekvensnät. William Sandqvist Sekvensnät Om en och samma insignal kan ge upphov till olika utsignal, är logiknätet ett sekvensnät. Det måste då ha ett inre minne som gör att utsignalen påverkas av både nuvarande och föregående insignaler!

Läs mer

Snabbguide till First Class

Snabbguide till First Class Snabbguide till First Class Guide till First Class Grundläggande funktioner Logga in i First Class För att logga in i First Class dubbelklickar du på skrivbordsikonen First Class, eller väljer First Class

Läs mer

Digitalteknik TSIU05 Laborationer

Digitalteknik TSIU05 Laborationer Lab0 Introduktion Lab1 Kombinatorik Lab2 Sekvensnät Lab3 System Digitalteknik TSIU05 Laborationer Michael Josefsson 11 oktober 2018 Läs alltid igenom hela laborationen så du vet vad du skall göra på laborationspasset.

Läs mer

Word Grunderna 1. Om du kan det allra enklaste i Word, hoppa över uppgifterna A-E.

Word Grunderna 1. Om du kan det allra enklaste i Word, hoppa över uppgifterna A-E. Word Grunderna 1 Om du kan det allra enklaste i Word, hoppa över uppgifterna A-E. A Starta programmet Word. Titta på skärmen efter en bild som det finns ett W på. Tryck med musknappen snabbt två gånger

Läs mer

Läs detta innan du fortsätter, eller skriv ut det, klicka runt lite och läs samtidigt.

Läs detta innan du fortsätter, eller skriv ut det, klicka runt lite och läs samtidigt. Bruksanvisning Installera CubeBiz... 2 Välj språk... 2 När du vill köra testversionen i 15 dagar... 3 När du köper en CubeBiz-licens... 3 Registrera en giltig licensnyckel... 3 Starta ett nytt projekt...

Läs mer

XP-els Kretskortskurs LED DIMMER

XP-els Kretskortskurs LED DIMMER XP-els Kretskortskurs LED DIMMER Författare: Joakim Lundberg Kontrollant: Nils Fredriksson Henrik Tjäder 7 maj 2015 Innehållsförteckning 1 Eagle 1 1.1 Nytt Projekt................................. 1 1.2

Läs mer

Att skapa en bakgrundsbild och använda den i HIPP

Att skapa en bakgrundsbild och använda den i HIPP Att skapa en bakgrundsbild och använda den i HIPP Bakgrundsbilder i HIPP kan användas till olika saker, t ex som ett rutnät för en tabell eller en grundkarta. Här visas hur man gör en grundkarta som en

Läs mer

Instruktion Programmeringsapp och gränssnitt

Instruktion Programmeringsapp och gränssnitt Instruktion BT och Programmerings-app v. 0. Sida 1 av 5 Instruktion Programmeringsapp och gränssnitt Observera, instruktionen bearbetas och uppdateras fortfarande. Kolla då och då www.mollehem.se/doc/instuktion/instruktion_programmering.pdf

Läs mer

F5 Introduktion till digitalteknik

F5 Introduktion till digitalteknik Exklusiv eller XOR F5 Introduktion till digitalteknik EDAA05 Roger Henriksson Jonas Wisbrant På övning 2 stötte ni på uttrycket x = (a b) ( a b) som kan utläsas antingen a eller b, men inte både a och

Läs mer

Steg 1 Minnen, mappar, filer Windows 7

Steg 1 Minnen, mappar, filer Windows 7 Steg 1 Minnen, mappar, filer Windows 7 Maj -13 Liljedalsdata.se Liljedalsdata Steg 1 Sida 1 Inledning Välkommen till denna kurs. Att jobba med datorer är ofta som att jobba med matematik. Det nya bygger

Läs mer

PARITETSKONTROLL. Om generatorn i vidstående exempel avkänner ett jämt antal ettor ger den en nolla ut. Detta innebär att överföringen

PARITETSKONTROLL. Om generatorn i vidstående exempel avkänner ett jämt antal ettor ger den en nolla ut. Detta innebär att överföringen PARITETSKONTROLL Paritetskontroll (likhetskontroll) användes för att kontrollera att dataordet inte förändrats på sin väg via överföringsledningarna, från ett ställe till ett annat. Antag att man vill

Läs mer

Steg 8 OpenOffice Presentation

Steg 8 OpenOffice Presentation Steg 8 OpenOffice Presentation Mac OS X Sept -13 Liljedalsdata.se Liljedalsdata Steg 8 Mac Sida 1 Inledning Förkunskaper Steg 1, 2. Datorns funktion OpenOffice Presentation är ett program som du kan använda

Läs mer

FIRSTCLASS. Innehåll:

FIRSTCLASS. Innehåll: FIRSTCLASS Innehåll: Hämta klient...2 Installera klient...2 Konfigurera klient...2 Koppla upp...3 Skrivbordet...3 Mailbox...3 Presentation...3 Skapa ett nytt meddelande...4 Söka mottagare för nytt meddelande...4

Läs mer

Tentamen i Digitalteknik 5p

Tentamen i Digitalteknik 5p Dan Weinehall Håkan Joëlson 007-0-09 ELEA5 Tentamen i Digitalteknik 5p Datum: 007-0-09 Tid: 09:00-5:00 Sal: Hjälpmedel: VHDL-kompendierna: Grunderna i VHDL, Strukturell VHDL och testbädd Labinstruktioner

Läs mer

Backup till extern hårddisk eller USB-minne

Backup till extern hårddisk eller USB-minne Backup till extern hårddisk eller USB-minne Hans Erneborg, Januari 2017. Det här dokumentet beskriver förkortat ett gratis backup-program som heter AOMEI Backupper. Backup sker mot en extern hårddisk eller

Läs mer

AVR 5. Styrning av trafikljus. Digitala system 15 p

AVR 5. Styrning av trafikljus. Digitala system 15 p Namn: Laborationen godkänd: Digitala system 15 p AVR 5 LTH Ingenjörshögskolan vid Campus Helsingborg Styrning av trafikljus. Syftet med laborationen är att styra en trafikkorsning med hjälp av en mikroprocessor.

Läs mer

INTRODUKTION TILL VIVADO

INTRODUKTION TILL VIVADO INTRODUKTION TILL VIVADO LABORATION1 Under laborationerna kommer vi att konstruera/beskriva ett antal kretsar med hjälp av VHDL (Very high speed integrated circuit Hardware Description Language). För att

Läs mer

Laboration i digitalteknik Speciella sekvenskretsar

Laboration i digitalteknik Speciella sekvenskretsar Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2016 Laboration i digitalteknik Speciella sekvenskretsar TDDC75 Digitalteknik IT Linköpings universitet SE-581

Läs mer

Steg 16 Video Debut video capture Video pad editor Windows 7/8

Steg 16 Video Debut video capture Video pad editor Windows 7/8 Steg 16 Video Debut video capture Video pad editor Windows 7/8 Dec -14 Liljedalsdata Liljedalsdata Steg 16 W78 Sida 1 Inledning Förkunskaper Steg 1, 2, 3, 15. Syftet med denna kurs är att du ska lära dig

Läs mer

Photo Story. Sara Eriksson IKT A, HT 2007

Photo Story. Sara Eriksson IKT A, HT 2007 Photo Story Mitt tips: Om du inte ser bilderna i manualen backa ett steg och spar filen. Öppna sedan den sparade filen. Då ser du bilderna. Med Photo Story kan du skapa bildberättelser, genom att sätta

Läs mer

UngO teket. Banläggning i OCAD. ungoteket.se

UngO teket. Banläggning i OCAD. ungoteket.se Ung teket Banläggning i CAD Ung teket Övning 1 - banläggning i CAD Nu är det dags att testa på CAD för banläggning. I CAD kan du som banläggare göra allt ifrån träningar och övningar till att sköta banläggning

Läs mer

Provverktyg för elever instruktioner [SE]

Provverktyg för elever instruktioner [SE] Provverktyg för elever instruktioner [SE] Innehållsförteckning 1 Inledning 3 2 Göra proven 3 2.1 Logga in 3 2.2 Kontrollera ljudet för hörförståelseprovet 5 2.3 Göra ett prov 5 3 Uppgifterna 7 3.1 Uppgifter

Läs mer

Laborationskort - ML4

Laborationskort - ML4 microlf ML Laborationskort - ML ML är ett enkelt laborationskort avsett för inledande laborationsövningar i Datorteknik. Kortet innehåller 0 olika sektioner som enkelt kopplas samman via 0-polig flatkabel.

Läs mer

Till flera av ovanstående finns det dessutom varianter, vilka kommer att presenteras i de olika avsnitten.

Till flera av ovanstående finns det dessutom varianter, vilka kommer att presenteras i de olika avsnitten. LINGUS32 Handledning Anne Börjesson Introduktion Lingus32 är ett program som främst är avsett att användas för att göra multimedia-baserade språkövningar. Programmet är skrivet för PC. Det finns möjlighet

Läs mer

Datorteknik 2 (AVR 2)

Datorteknik 2 (AVR 2) Namn: Laborationen godkänd: Digitala system 15 hp Datorteknik 2 (AVR 2) LTH Ingenjörshögskolan vid Campus Helsingborg Enkel in- och utmatning. Drivrutiner. Bithantering. I denna laboration ska vi förbättra

Läs mer

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik EDA 321 Digitalteknik syntes 2011-2012 Laboration 2 - VHDL 1. Enkelt sekvensnät 2. Trafikräknare i VHDL 3. Syntes av VHDL-kod Namn

Läs mer

Programmets startmeny ser ut så här. För att få fram menyerna Avsluta, Inställningar och Användare måste du föra markören upp till det blåa fältet.

Programmets startmeny ser ut så här. För att få fram menyerna Avsluta, Inställningar och Användare måste du föra markören upp till det blåa fältet. Programmets startmeny ser ut så här. För att få fram menyerna Avsluta, Inställningar och Användare måste du föra markören upp till det blåa fältet. Då du klickar på Användare öppnas denna bläddringslista.

Läs mer

batklubben.eu s hemsida

batklubben.eu s hemsida batklubben.eu s hemsida redigera din sida av webbplatsen 1. Skriv in http://www.din_doman.se/admin i browserns adressfält. 2. Skriv in ditt användarnamn och lösenord i inloggningsrutan, och tryck OK. 3.

Läs mer

DIGITALA RESURSER MANUAL FÖR. Arbeta med video i imovie

DIGITALA RESURSER MANUAL FÖR. Arbeta med video i imovie DIGITALA RESURSER MANUAL FÖR Arbeta med video i imovie 1 Introduktion Följande guide beskriver hur man går tillväga för att hämta in, redigera och färdigställa video med imovie. 1.1 Förutsättningar imovie

Läs mer

Digitalteknik F9. Automater Minneselement. Digitalteknik F9 bild 1

Digitalteknik F9. Automater Minneselement. Digitalteknik F9 bild 1 Digitalteknik F9 Automater Minneselement Digitalteknik F9 bild Automater Från F minns vi följande om en automat (sekvenskrets): Utsignalerna beror av insignal och gammalt tillstånd: Insignaler Utsignaler

Läs mer

TUTORIAL 3: ATT STARTA ETT NYTT PROJEKT, IMPORTERA ELLER DIGITALISERA MATERIAL, SAMT SORTERA DET.

TUTORIAL 3: ATT STARTA ETT NYTT PROJEKT, IMPORTERA ELLER DIGITALISERA MATERIAL, SAMT SORTERA DET. TUTORIAL 3: ATT STARTA ETT NYTT PROJEKT, IMPORTERA ELLER DIGITALISERA MATERIAL, SAMT SORTERA DET. Att starta ett nytt projekt När du startar Avid kommer Select Project fönstret upp: Istället för att välja

Läs mer

Skapa mapp. * Gör så här: Det finns många sätt att skapa mappar, men det enklaste sättet brukar vara följande.

Skapa mapp. * Gör så här: Det finns många sätt att skapa mappar, men det enklaste sättet brukar vara följande. Ideell IT-förening där äldre lär äldre Skapa mapp Det finns många sätt att skapa mappar, men det enklaste sättet brukar vara följande. * Gör så här: 1. Se till att du befinner dig på den plats i datorn

Läs mer

Användarbeskrivning ARBETSGIVARINTYG. för Sveriges alla arbetsgivare. arbetsgivarintyg.nu. En ingång för alla användare. Innehåll. Version 1.

Användarbeskrivning ARBETSGIVARINTYG. för Sveriges alla arbetsgivare. arbetsgivarintyg.nu. En ingång för alla användare. Innehåll. Version 1. 2015 05 17 Arbetslöshetskassornas samorganisation SO Version 1.0 ARBETSGIVARINTYG för Sveriges alla arbetsgivare Användarbeskrivning arbetsgivarintyg.nu Med tjänsten arbetsgivarintyg.nu kan du som arbetsgivare

Läs mer

E-posthantering med Novell Groupwise WebAccess

E-posthantering med Novell Groupwise WebAccess E-posthantering med Novell Groupwise WebAccess En liten hjälpreda sammanställd av Thomas Granhäll. Materialet får kopieras fritt! 2003 Följande moment behandlas i denna manual: 1. Logga in 2. Ta emot och

Läs mer

Manual. Anpassad för CMS Made Simple version 1.9.x (uppdaterad 2011-10-16) Birger Eriksson webblots.se

Manual. Anpassad för CMS Made Simple version 1.9.x (uppdaterad 2011-10-16) Birger Eriksson webblots.se Manual Anpassad för CMS Made Simple version 1.9.x (uppdaterad 2011-10-16) Birger Eriksson webblots.se Innehållsförteckning Start... 3 Lägg till ny sida...3 Redigera sida som redan finns...3 Titta på resultatet...

Läs mer

Switch Driver 4. Programvara för Radio Switch, JoyBox och JoyCable. Sensory Software

Switch Driver 4. Programvara för Radio Switch, JoyBox och JoyCable. Sensory Software Switch Driver 4 Programvara för Radio Switch, JoyBox och JoyCable. Sensory Software Innehåll ATT ANVÄNDA PROGRAMVARAN SWITCH DRIVER... 3 SWITCH DRIVER ANSLUTNING... 4 USB JOYSTICK KALIBRERING... 4 TESTFUNKTION...

Läs mer

1(15) Bilaga 1. Av Projekt Neuronnätverk, ABB Industrigymnasium, Västerås Vt-05

1(15) Bilaga 1. Av Projekt Neuronnätverk, ABB Industrigymnasium, Västerås Vt-05 1(15) Bilaga 1 2(15) Neuronnätslaboration Räknare Denna laboration riktar sig till gymnasieelever som går en teknisk utbildning och som helst har läst digitalteknik samt någon form av styrteknik eller

Läs mer

E-post. A. Windows Mail. Öppna alternativ. Placera ikonen på skrivbordet.

E-post. A. Windows Mail. Öppna alternativ. Placera ikonen på skrivbordet. E-post A. Windows Mail Öppna alternativ Klicka på startknappen Startmenyn öppnas Klicka på Alla Program Leta reda på Windows Mail Dubbelklicka Windows Mail öppnas. Om ikonen ligger i Start-menyn Klicka

Läs mer

Från vilka klasser hämtas eleverna till undervisningsgrupperna? Vilka undervisningsgrupper kan schemaläggas samtidigt?

Från vilka klasser hämtas eleverna till undervisningsgrupperna? Vilka undervisningsgrupper kan schemaläggas samtidigt? Elevhantering Öppna elevtabellen Välj Tabell - Elev. Konstatera att elevtabellen är tom! I brist på elevinformation måste schemat få reda på följande: Från vilka klasser hämtas eleverna till undervisningsgrupperna?

Läs mer

Ladda upp filer fra n PLC till PC

Ladda upp filer fra n PLC till PC Supportdokument Ladda upp filer fra n PLC till PC Synpunkter, felaktigheter, önskemål etc. för dokumentet meddelas Fil: Malthe_Suppo_Ladda upp filer från.docx Innehållsförteckning 1. Allmänt... 2 2. Installation

Läs mer

Elevhantering. Välj Tabell - Elev. Konstatera att elevtabellen är tom! I brist på elevinformation måste schemafilen få reda på följande:

Elevhantering. Välj Tabell - Elev. Konstatera att elevtabellen är tom! I brist på elevinformation måste schemafilen få reda på följande: Öppna elevtabellen Välj Tabell - Elev. Konstatera att elevtabellen är tom! I brist på elevinformation måste schemafilen få reda på följande: Från vilka klasser hämtas eleverna till undervisningsgrupperna?

Läs mer

A. Datorn från grunden

A. Datorn från grunden A-1 A. Vad är en dator? En dator är en apparat som du kan utföra en mängd olika uppgifter med t.ex: Skriva och läsa e-post, lyssna på musik, titta på film, spela spel. De olika uppgifterna utförs av program

Läs mer

Tidtagning med Eresults Lite programmet

Tidtagning med Eresults Lite programmet Tidtagning med Eresults Lite programmet Till en början: Börja med att anlsuta i EMIT läsaren till datorn genom att plugga in den gråa USB kabeln till USBporten där det står EMIT -> Starta sedan EResults

Läs mer

Avant BRUKSANVISNING. FAKTARUTA AVANT Hög utnivå med förstärkning upp till 57 db. Automatiska funktioner för tilt och förstärkning

Avant BRUKSANVISNING. FAKTARUTA AVANT Hög utnivå med förstärkning upp till 57 db. Automatiska funktioner för tilt och förstärkning SE-2 000920 00896 Rev 1 Avant FAKTARUTA Hög utnivå med förstärkning upp till 57 db Automatiska funktioner för tilt och förstärkning Välj mellan 3 UHF-ingångar, BI/BIII, bredbandsingång och satellit-mf)

Läs mer

Introduktion till digitalteknik

Introduktion till digitalteknik Inledning Introduktion till digitalteknik Stefan Gustavson 997, lätt uppdaterat 2004-09-06 Digitalteknik är grunden till alla moderna datorer. I datorernas barndom förekom visserligen så kallade analogimaskiner,

Läs mer

Att arbeta med centralfiler i Revit AADA20 Rhino/Revit Workshop 2016 LTH Ludvig Hofsten

Att arbeta med centralfiler i Revit AADA20 Rhino/Revit Workshop 2016 LTH Ludvig Hofsten Att arbeta med centralfiler i Revit 2017 AADA20 Rhino/Revit Workshop 2016 LTH Ludvig Hofsten 1. Öppna Revit. 2. Tryck på New 1. Hitta en bra mallfil (template på engelska.) Förslagsvis Mallfil LTH-A som

Läs mer

Kom igång med Platon. Publicerad 2011-12-22. Tersus Skolsystem AB Lilla Nygatan 2, 411 08 Göteborg Tel: 031-85 70 50

Kom igång med Platon. Publicerad 2011-12-22. Tersus Skolsystem AB Lilla Nygatan 2, 411 08 Göteborg Tel: 031-85 70 50 Kom igång med Platon Publicerad 2011-12-22 Tersus Skolsystem AB Lilla Nygatan 2, 411 08 Göteborg Tel: 031-85 70 50 Innehåll Kom igång med Platon 1 Beskrivning 4 Förberedelser inför schemaläggning 4 Läsårsperioder

Läs mer