Flödesschema som visar hur man använder Quartus II.

Relevanta dokument
Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Simulering med ModelSim En kort introduktion

Introduktion till syntesverktyget Altera Max+PlusII

Simulering med ModelSim En kort introduktion

Digital elektronik CL0090

SKAPA DET FÖRSTA PROJEKTET I mikrobasic PRO for AVR

Det finns en handledning till kortet på hemsidan. AVR STK500.

INTRODUKTION TILL VIVADO

VHDL och laborationer i digitalteknik

Simulera med ModelSim

Digital elektronik CL0090

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2

AVR Studio 4 Och AVRFLASH

Paneler - VCPXX.2. Programmeringsmanual för VCP-paneler. Revision 2

INSTALLATION...3 ATT KOMMA IGÅNG...3 PROGRAMMETS DESIGN...4 LÄGGA TILL TABELL...4 EDITERA TABELL...4 EDITERA RELATION...5 SPARA OCH AVSLUTA...

INTRODUKTION TILL OrCAD

surell consulting ab

Innehåll i detta dokument

Programmets startmeny ser ut så här. För att få fram menyerna Avsluta, Inställningar och Användare måste du föra markören upp till det blåa fältet.

Vilken version av Dreamweaver använder du?

Guide till att använda Audacity för uttalsövningar

M7005 och IBR Användarhandbok

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse

Komponenter med COM (och COM+/VC++ 7.0)

E-tentavakt - Invigilator

Lathund grundkurs datorutbildning

Installation av e-post i Outlook 2016 Med 2018 års uppdateringar.

NetBeans 5.5. Avsikt. Projektfönster

Manual för ADDIS-net. Innehåll

Steg 1 Minnen, mappar, filer Windows 10

Att skapa en bakgrundsbild och använda den i HIPP

Datorteknik 1 (AVR 1)

Handledning för Installation av etikettskrivare

KALKYLATOR LABORATION4. Laborationens syfte

Din guide till. Klientinstallation MS Driftservice

Tidtagning med Eresults Lite programmet

Grundkurs 1 IKT Filhantering

Visa/Dolj knappar i Webeditor 8

NetBeans 7. Avsikt. Projektfönster

Rev 2. Manual för handterminal

Lathund för BankID säkerhetsprogram

Så fungerar Diskutera i Ping Pong

Besvara de elektroniska frågorna (se kurshemsidan). Läs kapitel i kursbok.

OBS!!! Anslut ej USB kabeln till dator eller GPS innan du först har installerat drivrutinerna för USB kabeln i din dator.

Innehåll Information om hemkatalog och lagring av filer... 2 PC... 3 DAFGU-/GDA-dator, Windows

3. Hämta och infoga bilder

Hotspot låter användaren skapa genvägar till andra sidor.

Låt "Personlig mappfil för Office Outlook (.pst)" vara markerad. Klicka på OK

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov)

Eclipse. Avsikt. Nu ska ett fönster liknande figuren till höger synas.

USB styrt DMX gränssnitt

LAB VHDL-programmering

skapa genvägar till andra sidor (externa och interna)

25. Hämta Adobe Reader

Programutveckling med Java Development Kit. (JDK 1.1.x) och Programmers File Editor (PFE 7.02)

IndraDrive - parameterhantering

Datorlaboration 1 Deskriptiv statistik med hjälp av MS Excel vers. 2010

Se till att posten är i Ändringsläge. Gå till rullgardinsmenyn under Föremål och välj Lägg in bild.

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1.

ANVISNING Om det inte lyckas att logga in i Kelain på en Mac-dator Innehåll

Till flera av ovanstående finns det dessutom varianter, vilka kommer att presenteras i de olika avsnitten.

Hantering av externa länkar i IRONCAD

Instruktioner för uppkoppling mot NyA Open

På följande sidor återfinns en kort dokumentation som beskriver några användbara programfunktioner.

Steg 1 Minnen, mappar, filer Windows 7

Inledande programmering med C# (1DV402) Ditt första C#-program med Visual Studio

Administrationsmanual ImageBank 2

Arbetsinstruktion. Platina light. Syfte. Dokumenthistorik

Innehåll Information om hemkatalog och lagring av filer... 2 PC... 2 GDA-dator, Windows

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler,

Workshop IBA internet based assessment

XP-els Kretskortskurs LED DIMMER

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn.

Hur göra i LOGGER. Ursprungligen skriven av Tommy/SM7NZB. Redigerad och uppdaterad av Ulf/SM0LCB.

För att öppna galleriet, ange adressen

Användarutbildning i SiteVision

MANUAL ELBENSTÖD / WIZARD 6

INSTALLATION AV VITEC MÄKLARSYSTEM

ANVÄNDAR MANUAL. SESAM 800 RX MC Manager

Skrivbordet innehåller färre ikoner, men det fungerar som tidigare att lägga till genvägar.

1 Photo Story Steg 1 - Hämta och fixa dina bilder

Installation av StruSofts låne-licensserver (nätverkslicens)

Kom igång med Etikettskrivaren 1. Ladda ner följande installationsprogram Ladda ner Drivrutiner för etikettskrivare Zebra

Steg 1 Minnen, mappar, filer Windows 8

Översättningsminnen laboration

TSIU50 Mikrodatorprojekt. LAB1 AVR-introduktion och logikanalysator

Eclipse. Kort genomgång

batklubben.eu s hemsida

BICT:01 BICT. sv-se. Användarinstruktion Gäller från BICT Utgåva 5. Scania CV AB 2015, Sweden

LADDA UPP EN PDF-FIL OCH LÄNKA TILL DEN I DIN ARTIKELTEXT

BILAGA 5 Fi2Utrymmen Bilaga till CAD-kravspecifikation med förvaltningsinformation Version 1.0

Starta Egenrapportering automatiskt i Mac

Guide för PDF/A Författare Avd Telefon Datum Version Sid Göran Lindqvist (9)

BILAGA 1 ADVES MANUAL 1 (7)

Publicera taltidningen

DIGITALA RESURSER MANUAL FÖR. Samarbete via Acrobat Connect

Innehåll instruktion Sharefile för Trafikverkets applikationer

Konstruktionsmetodik för sekvenskretsar

Installationsanvisning För dig som har valt fast IP-Adress

Transkript:

Flödesschema som visar hur man använder Quartus II. För att det skall bli lite enklare använder jag följande exempel: ut1 <= a xor b ut2 <= a xor b xor c Det är en två-ingångars XOR-grind, och en tre-ingångars XOR-grind. Börja med att skapa en tom katalog som skall innehålla hela projektet. Använd Din hemkatalog. ( Absolut inte C: på skolans datorer ). Här i exemplet heter katalogen VHDL9. Nedan visas olika beteckningar för: Fönsternamn, Rullgardinsmenyer och Knappar. Fönsternamn, ex.vis Quartus II File Save Visar menyer Knapp OK Starta Quartus II version 6.0 Quartus II File New Project Wizard 1. Hoppa över introduktionen. Klicka på 2. Arbetskatalog. Välj den katalog som Du skapat. ger tillgång till sökvägen. Ange namnet på projektet. Detta blir också namnet på Top-level design entity ( Jag kallar den test9 ) Viktigt. Quartus Flödesschema 1/8

3. Inga filer skall inkluderas i detta exempel. 4. Select the Family and Device Välj Cyclone och EP1C12Q240C 5. 6. Inga EDA Tools 7. Nu får Du en sammanfattning av Dina olika val. Finish Quartus II 8. Nu kommer Du tillbaka till Quartus II huvudmeny. File New Du får upp ett nytt fönster. Fönstrets namn är New. New Under fliken: Device Design Files Välj:VHDL File 9. Skriv in Din VHDL-kod. Entity-name måste vara det namn som Du valde i ruta 2. Det kan finnas flera entity, men en måste vara top-level. Nedanstående program använde jag vid provkörning. Quartus Flödesschema 2/8

-- My Documents\CL0090\VHDL9\test9.vhd -- Provkörning för flödesscheman. -- a SW3.1 FPGA 58 -- ut1 D3 FPGA 56 -- b SW3.2 FPGA 59 -- ut2 D6 FPGA 53 -- c SW3.3 FPGA 60 -- Library ieee; Use ieee.std_logic_1164.all; -- Entity test9 is --detta namn måste stämma överens med "top-level-entity" port( a,b,c: in std_logic; ut1,ut2: out std_logic); end entity test9; Architecture komp of test9 is -- intern signal, används bara för information signal int: std_logic; begin int<= a xor b; ut1<= int; -- utan int ut1 <= a xor b; ut2<= int xor c; -- utan int ut2 <= a xor b xor c; end architecture komp; 10. Spara. Du får förslag på filnamn. test9 dvs. samma som entity-name Du får välja annat om Du vill. 11. Nu är det dags att kompilera. Processing Start Compilation Ctrl + L Eller Knapp Rätta fel. Spara. (Kompilera igen ) Fungerar? Ja Nej Fel anges i fönstret längst ner. Message Du får inf. om vilken rad och vad som kan vara orsak 12. Du får upp ett nytt fönster med Flow Summery Detta kan Du stänga. Quartus Flödesschema 3/8

13. Dags att välja portar för signalerna. Skriv in dessa till att börja med som kommentarer i VHDL-koden. 14 Dags att ange portarna. Öppna Pin Planner med Assignements Pin Planner Ctrl+ Shift + N eller med snabbknapp. 15. Nytt fönster igen. Pin Planner Längst ner finns en förteckning över alla portar. Nod Name Direction Location I/O Bank 16. För varje nod ( insignal, utsignal eller intern signal ), dubbel-klickar Du i fältet under Location. Du får upp en lista med alla tillgängliga portar. Välj det FPGA nr som Du bestämt. Det finns i Din fil test9.vhd. Detta fönster finns också öppet. 17. Kompilera en gång till. Processing Start Compilation Ctrl + L Du får en fråga. Save changes to test9 YES 18. Provkör eller simulera. Quartus Flödesschema 4/8

Först visas provkörning 19. I fönstret Quartus II väljer Du. Tools Programmer Detta ger fönstret test9.cdf 20. Där visas: File Device Checksum Usercode Program/Configure test9.sof EP1C12Q240 00116CA2 FFFFFFFF 21. Det finns två olika filer för programmering,.sof som lägger programmet i SRAM och en fil med tillägget.pof som lägger programmet i EEPROM. Använd SRAM!!! När Du kryssar i rutan under Program/Configure kommer hela raden att markeras. 22. Se till att utvecklingskortet är påslaget och att USB Blaster är kopplad mellan kortet och datorn. Att kortet är i drift visas genom att den gröna lysdioden vid FPGA-kretsen är tänd. 23. test9.cdf Start I detta fönster finns knappen Knappen finns alldeles till vänster om File. Under programmeringen av kortet kommer en blå lysdiod i USB Blaster att tändas. På kortet kommer den gröna lysdioden vid FPGA-kretsen att släckas. När programmeringen är klar tänds den gröna dioden igen. Nu kan Du kontrollera om programmet fungerar. 24. Provkör. Fungerar det inte som tänkt är det bara att ändra i VHDLkoden Kompilera och ladda ner programmet igen. Quartus Flödesschema 5/8

Simulering. 25. Har Du provkört programmet, så är det lämpligt att stänga alla fönster utom det som innehåller källkoden. ( Spara fönstret test9.vhd ). 26. Quartus II Processing Simulator Tool Nytt fönster igen. Se till att Du ser hela fönstret. 27. Simulator Tool I Simulation mode: väljer Du - Functional- Klicka på knappen till höger Generate Functional Simulation Sedan på OK och till sist på Du få upp ett nytt fönster. Open Waveform1.vwf 28. Fönstret Waveforn1.vwf är tomt. Dubbelklicka i det prickade Området under Name Name Value at 11.45 ns 29. Nästa fönster heter Insert Node or Bus Klicka på knappen Node Finder Detta öppnar ett nytt fönster: 30. Node Finder Detta fönster tomt. Vid förstoringsglaset klickar Du på knappen List. Nu får Du en lista på alla noder i vänstra fönstret (Nodes Found:). För över de noder som är intressanta till högra fönstert. ( Selected Nodes: ) I det här fallet skall alla användas. OK Quartus Flödesschema 6/8

31. Du kommer tillbaka till fönstret Klicka OK Insert Node or Bus 32. Nu skall Du vara tillbaka i fönstret Waveform1.vwf Här finns nu alla signaler listade till vänster. Till höger det logiska värdet. Alla insignaler har det logiska värdet noll. Alla utsignaler markeras med ett rutmönster. Obestämt logiskt värde. 33. Nu skall Du definiera insignalerna. Detta görs med verktyget Detta finns i paletten till vänster. 34. Använd verktyget för att markera det område som skall vara ett för det olika insignalerna. 35. Spara. Du får ett förslag till filnamn. OK 36. Fönstret Simulator Tool finns fortfarande kvar i bakgrunden. Aktivera detta. Simulation input: Här måste Du ha sökväg och filnamn till filen enligt ruta 35 Sedan trycker Du på Knappen finns längst ner till vänster. Start 37. Aktivera fönstret test9.vwf ( Tidigare hette fönstret Waveform1.vwf ) Resultatet av simuleringen visas. Eventuellt får Du en fråga:. Do You want to reload? Svara Yes Nu uppdateras innehållet i fönstret test9.vwf Quartus Flödesschema 7/8

38. Nu kan Du kontrollera alla signaler. Vill Du ändra någon signal så görs detta med Spara och gå sedan till punkt 35 39. Den här programkörningen har resulterat i ett stort antal filer. Kontrollera katalogen VHDL9. Där finns 20 filer och en underkatalog. Underkatalogen innehåller 43 filer. Skapa alltså en ny katalog för varje projekt Quartus Flödesschema 8/8