Digital elektronik CL0090

Relevanta dokument
Konstruktionsmetodik för sekvenskretsar

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design onsdagen den 5/

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

Sekvensnät. William Sandqvist

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Digital elektronik CL0090

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov)

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik

Sekvensnät vippor, register och bussar

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

std_logic & std_logic_vector

TSEA22 Digitalteknik 2019!

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1

Omtentamen IE Digital Design Måndag 14/

Tentamen i IE1204/5 Digital Design måndagen den 15/

VHDL 1. Programmerbara kretsar

VHDL och laborationer i digitalteknik

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD

Tentamen IE Digital Design Måndag 23/

Tentamen i IE1204/5 Digital Design Torsdag 29/

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

Programmerbara kretsar och VHDL. Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Angående buffer. clk clear >=1 =9?

Konstruktion av digitala system - VHDL

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.

Omtentamen med lösningar i IE1204/5 Digital Design Fredag 10/

Digital- och datorteknik

DESIGN AV SEKVENTIELL LOGIK

Digitalteknik, fortsättningskurs Föreläsning VHDL Very High Speed Integrated Circuit Hardware Description Language

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler,

Försättsblad till skriftlig tentamen vid Linköpings universitet

Simulering med ModelSim En kort introduktion

KALKYLATOR LABORATION4. Laborationens syfte

IE1204 Digital Design

Digital Design IE1204

Digital Design IE1204

Du har följande material: 1 Kopplingsdäck 2 LM339 4 komparatorer i vardera kapsel. ( ELFA art.nr datablad finns )

Design av mindre digitala system. Föreläsning Digitalteknik, TSEA52 Mattias Krysander Institutionen för systemteknik

Digitalteknik F9. Automater Minneselement. Digitalteknik F9 bild 1

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Tentamen med lösningar IE Digital Design Måndag 23/

LABORATIONSINSTRUKTION LABORATION

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik Mattias Krysander Institutionen för systemteknik

Flödesschema som visar hur man använder Quartus II.

IE1205 Digital Design: F9: Synkrona tillståndsautomater

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D

Tentamen med lösningar i IE1204/5 Digital Design Måndag 27/

Programmerbar logik och VHDL. Föreläsning 4

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2

Tentamen i IE Digital Design Fredag 21/

Sekvensnät i VHDL del 2

Tenta i Digitalteknik

Omtentamen med lösningar IE Digital Design Måndag 14/

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Programmerbara kretsar och VHDL 1. Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D

Laboration i digitalteknik Introduktion till digitalteknik

Tentamen i Digitalteknik, EITF65

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1.

Tentamen med lösningar i IE1204/5 Digital Design Torsdag 29/

Tentamen IE Digital Design Fredag 15/

IE1204/5 Digital Design typtenta

TSEA22 Digitalteknik 2019!

DIGITALTEKNIK. Laboration D172

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15.

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I

Tentamen med lösningar för IE1204/5 Digital Design Torsdag 15/

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

(2B1560, 6B2911) HT08

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS v 2.1

Digital- och datorteknik

Tenta i Digitalteknik

LV6 LV7. Aktivera Kursens mål:

Digitalteknik syntes Arne Linde 2012

Låskretsar och Vippor

Grundläggande digitalteknik

IE1204 Digital Design

IE1204/IE1205 Digital Design

IE1204/5 Digital Design typtenta

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll:

VHDL2. Sekvensnätsexemplet

L15 Introduktion modern digital design

KOMBINATORISKA FUNKTIONER...1

Repetition och sammanfattning av syntes och analys av sekvensnät

Digital Design IE1204

Tentamen IE Digital Design Fredag 13/

VHDL2. Sekvensnätsexemplet

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD

Digital elektronik CL0090

Laborationshandledning

Översikt, kursinnehåll

Tentamen i Digitalteknik 5p

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse

Laborationshandledning

Digital- och datorteknik, , Per Larsson-Edefors Sida 1

Transkript:

Digital elektronik CL9 Föreläsning 5 27-2-2 8.5 2. Naxos Demonstration av uartus programvara. Genomgång av uartus flödesschema. Detta dokument finns på kurshemsidan. http://www.idt.mdh.se/kurser/cl9/ VHDL-kod för en multiplexer. filnamn My Documents\CL9\VHDL8_mux\vhdl8_mux.vhd Program för en 4- MUX Två streck innebär att resten av raden är en kommentar. Tag för vana att alltid skriva in filnamn och sökväg UP3 kortet utgång ut på D6 FPGA 53 ingångar d på SW4 FPGA 48 d på sw5 FPGA 49 d2 på SW6 FPGA 57 d3 på SW7 FPGA 62 a på SW3. FPGA 58 a på SW3.4 FPGA 6 Var noggran med parenteser!! library ieee; use ieee.std_logic_64.all; entity VHDL8_mux is port( d,d,d2,d3: in std_logic; a,a: in std_logic; ut: out std_logic); end VHDL8_mux; architecture beteende of VHDL8_mux is begin ut<= ( (d and (not a) and (not a)) or (d and ( not a) and a) or (d2 and a and ( not a)) or (d3 and a and a)); end beteende; 27-2-2 H:\CL9\F-27_P3\F5_27.doc /7

Tag för vana att skriva in vilka portar som skall användas. Detta kan man vänta med tills man lyckats att kompilera koden. Det finns en förteckning över en del portar på kurshemsidan Portadresser UP3_pin resp Utvecklingskort_DE2 En fullständig förteckning finns i manualerna till respektive kort. För att simulera koden behövs inte portar anges. Programmet för MUX är skrivet med ledning av hur en multiplexer är konstruerad. Se figur 2.3 Hemert, Digitala kretsar. Koden är inte speciellt lättläst, och det är lätt att göra fel bland alla parenteser. Här visas ett bättre program. filnamn My Documents\CL9\VHDL9_mux2\mux2.vhd Ett bättre program för en 4- mux UP3 kortet utgång på D6 FPGA 53 Ingångar: d[] på SW4 FPGA 48 d[] på sw5 FPGA 49 d[2] på SW6 FPGA 57 d[3] på SW7 FPGA 62 a[] på SW3. FPGA 58 och a[] på SW3.4 FPGA 6 library ieee; use ieee.std_logic_64.all; entity mux2 is port( d: in std_logic_vector(3 downto ); a: in std_logic_vector( downto ); ut: out std_logic); end; architecture bet of mux2 is begin with a select ut<= d() when "", d() when "", d(2) when "", d(3) when others; end; Här har samhörande variabler samlats i vektorer. Det finns fyra d-ingångar. Dessa är samlade i en vektor d. Index 3 till. Lägg märke till d(3), där kan man skriva : d(3) when men man föredrar konstruktionen d(3) when others. 27-2-2 H:\CL9\F-27_P3\F5_27.doc 2/7

Jämför med C-programmering. Switch Man använder ett antal case och det sista får vara default. Del två av föreläsningen. Inledning till vippor och tillstånd. Vad händer om man kopplar upp en sådan här krets? A Antingen blir A = och därmed så blir = eller A= och =. Kretsen har två möjliga tillstånd. För att växla tillstånd måste man tvinga A att anta ett lågt eller ett högt värde. Anslut till jord eller till matningsspänning. Det utmärkande för den här typen av kretsar är att det finns en återkoppling. En koppling mellan utgång och ingång. Latch med NOR-grindar. B A Figuren ovan kan också ritas som B A 27-2-2 H:\CL9\F-27_P3\F5_27.doc 3/7

Rita en tabell över vad som händer för olika insignaler. Insignaler är A, B och Utsignaler är och Nuvarande Nästa A B Nuvarande och nästa har olika värden Nuvarande och nästa har olika värden Om insignalerna är =, A= och B= så blir nästa -värde =. Man säger att kretsen växlar till ett nytt tillstånd. Tillståndet =, A= och B= är stabilt. Detta visas med fetstil i tabellen ovan. Karnaughdiagram kan ritas för tabellen ovan AB Diagrammet visar näta tillstånd. Detta brukar betecknas med + A och B är inte vedertagna namn på insignalerna. A = S Set. Ett-ställer B = R Reset. Noll-ställer. Man kan inte ett-ställa och noll-ställa samtidigt. ( Resultatet ovan blir noll ) Så här brukar diagrammet se ut: SR SR = leder till ett förbjudet tillstånd, kombinationen SR = får inte inträffa Kretsen har två tillstånd. Man kan rita ett tillstånds-diagram. 27-2-2 H:\CL9\F-27_P3\F5_27.doc 4/7

Övergången mellan de två tillstånden bestäms av SR = = Kretsen kallas en latch, låskrets. Kretsen är asynkron. Med detta menas att utsignalen ändras oberoende av en klocka. ( I det här fallet finns ingen klocka ). Ett sätt att undvika tillståndet S= R= är att koppla en inverterare mellan S och R. Man får då endast en ingång. Denna ingång kallas D. Man har fått en D-latch. D R S Figuren ovan sammanfattas sedan till: D Synkrona sekvenskretsar styrs av en klocka. Klockingången anges på något av följande sätt: D D D D Första vippan är positivt nivåtriggad. Den kan ändras då klocksignalen är en etta. Andra vippan är negativt nivåtriggad. Ändras då klocksignalen är noll. Tredje vippan är flankrtiggad. Ändras då klocksignalen går från noll till ett. Alltså endast möjlig att ändra under en kort kort tidsperiod. Fjärde vippan är negativt flanktriggad. Motsattsen mot vippa tre. 27-2-2 H:\CL9\F-27_P3\F5_27.doc 5/7

Tillståndsdiagram för D-vippa kan ritas utifrån diagrammet för SR-vippan SR = = = D = medför att SR = och D = medför att SR =. D = = = Ett annat sätt att undvika att SR = är att göra ytterligare återkopplingar för SRvippan. Detta leder till JK-vippan. Karnaughdiagrammet för denna vippa är: JK Ingångarna kallas i det här fallet J för set och K för reset. Funktionstabellen skrivs som: J K innebär att nästa tillstånd är det motsatta mot tidigare tillståndet. 27-2-2 H:\CL9\F-27_P3\F5_27.doc 6/7

Till sist. Rita tillståndsdiagram för följande sekvenskrets. & x & D D x är insignal. Tillståndet för kretsen ges av värdena på. x D = x D = x + + Tillstånden i bubblorna Insignalen vid pilarna. Observera att det i det här fallet inte finns någon utsignal. 27-2-2 H:\CL9\F-27_P3\F5_27.doc 7/7