Tentamen med lösningar i IE1204/5 Digital Design Torsdag 29/

Relevanta dokument
Tentamen i IE1204/5 Digital Design Torsdag 29/

Tentamen i IE Digital Design Fredag 21/

Tentamen med lösningar för IE1204/5 Digital Design Torsdag 15/

Tentamen IE Digital Design Fredag 15/

Tentamen med lösningar i IE1204/5 Digital Design Måndag 27/

Omtentamen IE Digital Design Måndag 14/

Tentamen med lösningar i IE Digital Design Fredag 15/

Tentamen med lösningar i IE Digital Design Fredag 21/

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Omtentamen med lösningar i IE1204/5 Digital Design Fredag 10/

Tentamen i IE1204/5 Digital Design måndagen den 15/

Tentamen IE Digital Design Måndag 23/

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen IE Digital Design Fredag 13/

Tentamen med lösningar IE Digital Design Måndag 23/

Omtentamen med lösningar IE Digital Design Måndag 14/

Tentamen med lösningar IE Digital Design Fredag 13/

Tentamen IE1204 Digital Design Måndag 15/

IE1204/5 Digital Design typtenta

IE1204/5 Digital Design typtenta

IE1204/IE1205 Digital Design

Tenta i Digitalteknik

IE1205 Digital Design: F9: Synkrona tillståndsautomater

Tentamen i IE1204/5 Digital Design Måndag 27/

Tenta i Digitalteknik

Tenta i Digitalteknik

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl

Tenta i Digitalteknik

Tenta i Digitalteknik

Asynkrona sekvensmaskiner

Digital elektronik CL0090

IE1204 Digital Design

Tentamen i Digital Design

Försättsblad till skriftlig tentamen vid Linköpings universitet

Digital Design IE1204

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

Digital Design IE1204

Digital Design IE1204

Tentamen i Digitalteknik TSEA22

Digital Design IE1204

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler,

Repetition och sammanfattning av syntes och analys av sekvensnät

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D

Digital elektronik CL0090

Repetition TSIU05 Digitalteknik Di/EL. Michael Josefsson

Tentamensskrivning 11 januari 2016

Definition av kombinatorisk logik Olika sätt att representera kombinatorisk logik Minimering av logiska uttryck

Tenta i Digitalteknik

Sekvensnät. William Sandqvist

Digital Design IE1204

Sekvensnät Som Du kommer ihåg

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp

Lösningsförslag till tentamen i Digitalteknik, TSEA22

Digital- och datorteknik

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov)

Digitalteknik syntes Arne Linde 2012

Digital- och datorteknik

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna

Sekvensnät i VHDL del 2

Tentamen i Digitalteknik, TSEA22

IE1204 Digital Design

SEKVENSKRETSAR. Innehåll

Digital Design IE1204

Tenta i Digitalteknik

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS v 2.1

Digital Design IE1204

F5 Introduktion till digitalteknik

TSEA22 Digitalteknik 2019!

Tentamen i Digitalteknik, EITF65

Institutionen för systemteknik, ISY, LiTH. Tentamen i. Tid: kl

Låskretsar och Vippor

Digital Design IE1204

IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2

Tentamen i EDA320 Digitalteknik för D2

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I

Exempel på tentamensfrågor Digitalteknik

TSIU05 Digitalteknik. LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System

IE1204 Digital Design

Exempel Skriftlig Tentamen IE1204 Digital Design Hösten 2018

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

Digital Design IE1204

Tentamen i Digitalteknik 5p

KALKYLATOR LABORATION4. Laborationens syfte

Laboration i digitalteknik Introduktion till digitalteknik

Försättsblad till skriftlig tentamen vid Linköpings Universitet

DIGITALTEKNIK. Laboration D161. Kombinatoriska kretsar och nät

Repetition delay-element

IE1205 Digital Design: F4 : Karnaugh-diagrammet, två- och fler-nivå minimering

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

Översikt, kursinnehåll

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

DIGITALTEKNIK I. Laboration DE1. Kombinatoriska nät och kretsar

Grundläggande Datorteknik Digital- och datorteknik

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Konstruktionsmetodik för sekvenskretsar

Digital Design IE1204

Transkript:

Tentamen med lösningar i IE4/5 Digital Design Torsdag 9/ 5 9.-. Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandvist tel 8-794487 Tentamensuppgifterna behöver inte återlämnas när du lämnar in din skrivning. Hjälpmedel: Inga hjälpmedel är tillåtna! Tentamen består av tre delar med sammanlagt 4 uppgifter, och totalt poäng: Del A (Analys) innehåller åtta korta uppgifter. Rätt besvarad uppgift ger en poäng. Felaktig besvarad ger poäng. Det totala antalet poäng i del A är poäng. För godkänt på del A krävs minst 6p, är det färre poäng rättar vi inte vidare. Del A (Konstruktionsmetodik) innehåller två metodikuppgifter om totalt poäng. För att bli godkänd på tentamen krävs minst poäng från AA, är det färre poäng rättar vi inte vidare. Del B (Designproblem) innehåller två friare designuppgifter om totalt poäng. OBS! I slutet av tentamenshäftet finns ett inlämningsblad för del A, som ska avskiljas för att lämnas in tillsammans med lösningarna för del A och del B. För ett godkänt betyg (E) krävs minst poäng på hela tentamen. Betyg ges enligt följande: 6 9 5 F E D C B A Resultatet beräknas meddelas före torsdagen den 9/ 5.

Del A: Analysuppgifter Endast svar krävs på uppgifterna i del A. Lämna svaren på inlämningsbladet för del A som du hittar på sista sidan av tentahäftet.. p/p En funktion f(x, y, z) beskrivs med hjälp av uttrycket: f ( x, y, z) = x y z x y z ( y z) Ange funktionens maxtermer, dvs ange funktionen som produkt av summor. f ( x, y, z) = { PoS} =?. Lösningsförslag f ( x, y, z) = x y z x y z ( y z) = ( x y z) x yz yz = x y z yz( x ) = = x z y( z) = ( x y z) only one maxterm is needed. p/p Ett fyrabitars teckenlöst tal x (x x x x ) ska multipliceras med konstanten 7. Detta sker genom att talet x ansluts till en sju bitars adderare som konfigurerats för att utföra operationen y = 7 x = (8 x x) Rita hur adderaren ska konfigureras. Förutom de fyra bitarna i talet x så finns även konstanterna med värdet eller tillgängliga. En kopia av figuren finns även på svarsblanketten.. Lösningsförslag y = 7 x = (8 x x). p/p Två binära 6 bitars tvåkomplement tal adderas. Vad blir resultatet uttryckt som ett decimaltal med tecken?. Lösningsförslag = - 5 = - 5 = -7

4. p/p Ett Karnaughdiagram för en funktion av fyra variabler y = f(x, x, x, x ) ges nedan. Ange funktionen minimerad y min som en summa av produkter, på SoP form. - i diagramet står för don t care. 4. Lösningsförslag y = x x x x xxx 5. p/p Figuren nedan visar ett grindnät med två NAND grindar och en NOR-grind. Förenkla funktionen Y = f( A, B ) så långt som möjligt. 5. Lösningsförslag Y = A A B A = A A B A = 6. p/p En logisk funktion av tre variabler c b a är realiserad med multiplexorer. Ange funktionen på minimerad PoS form (som produkt av summor). f ( c, b, a) = { PoS} min =? 6. Lösningsförslag f ( c, b, a) = { SoP} = bc a bc bc bc = abc bc = abc ( a a) bc = abc abc abc f ( c, b, a) = { PoS} min = ( b c)( b c)( a c) or = ( b c)( b c)( a b) 4

7. p/p Ange den logiska funktion som realiseras av CMOS kretsen i figuren nedan. Y = f(a,b,c) =? 7. Lösningsförslag PDN : Y = ac bc Y = Y = ac bc CMOS Multiplexor 8. p/p Komplettera tidsdiagrammen för D-latch och D-flipflop genom att rita signalen Q för båda fallen. Rita tydlig figur så att det framgår vad det är som orsakar förändringar i Q! 7. Lösningsförslag 5

9. p/p Figuren visar en synkron dekadräknare (Q D Q C Q B Q A 9). Markera (= rita i figuren på svarsblanketten) den kritiska signalvägen som avgör hur snabbt räknaren kan räkna (the critical path ). Beräkna den minsta tid T [ns] mellan klockpulserna som fortfarande ger säker funktion. Grindar: t pdor = 4, t pdand = 5 [ns] Vippor: t su =, t h =, t pdq = [ns] T = t pdq t psand t psand t psor tsu = 5 5 4 = 9 ns =. p/p Nedan är VHDL-koden för en : Multiplexor. Multiplexorns Karnaughdiagram visas till höger. Komplettera koden så att det blir en Hazardfri MUX. Kodraden finns också på svarsblanketten. -- import std_logic from the IEEE library library IEEE; use IEEE.std_logic_64.all; -- this is the entity entity MUX is port ( a : in std_logic; b : in std_logic; c : in std_logic; Y : out std_logic); end entity MUX; -- this is the architecture architecture gates of MUX is begin Y <= (b AND c) OR (a AND NOT c) end architecture gates; ;. Lösningsförslag Y <= (b AND c) OR (a AND NOT c) OR (a AND b); 6

Del A: Konstruktionsmetodik Observera! Del A rättas endast om Du är godkänd på del A. 5p Ett äldre mätinstrument har en sjusegmentdisplay med sju glödlampor, men saknar ett uttag för anslutning till dator. Man vill tillverka ett kombinatoriskt nät som ansluts till glödlamporna och som sedan omvandlar 7-segmentkoden till den vanliga BCD-koden (normalt binärkodade siffror till 9) som används av en mängd andra utrustningar. a) (p) Ställ upp sanningstabellen för de tio BCD-siffrorna. Lysande segment är. ( x ) xxx BCD = f ( abcdefg) b) (p) Inspektera sanningstabellen. Man kan då upptäcka att även om upp till två av segmenten utesluts som insignaler förblir sambandet mellan segmentbild och BCD-siffra entydigt. Finn ett/två segment som man kan klara sig utan? Ställ upp den nya sanningstabellen utan ett eller två segment. c) (p) Ställ upp karnaughdiagram för de fyra bitarna i BCD-koden och ta fram de minimerade uttrycken för x x x x på SoP-form. Segmentkombinationer som aldrig uppträder ska utnyttjas som don t care. (Med ett eller två uteslutna segment i sanningstabellen blir antalet variabler hanterliga 5 eller 6). d) (p) Välj själv ut ett av uttrycken för x x x x och realisera det med enbart ingångars NAND-grindar. ( inga inverterade variabler finns tillgängliga ). Lösningsförslag abcdefg 6 48 9 5 9 95 7 4 5 6 7 8 9 x xxx Segment a är nödvändigt för att kunna skilja mellan och 7. Segment e behövs för att skilja 8 från 9 och 5 från 6. Däremot kan segment c och d uteslutas utan att segmentkombinationerna blir mångtydiga. Se figuren. Detta kan användas för att förenkla problemet ner till 5 variabler. 8 9 5 9 4 7 abefg 4 5 6 7 8 9 x xxx 7

x = abfg x = b a f g a f x = a f be x = f g ae Exempel. Bit x :. 5p Figuren visar ett sekvensnät, en självkorrigerande ringräknare som räknar one hot - sekvensen,,,. a) (p) Analysera sekvensnätet i figuren och rita det fullständiga tillståndsdiagrammet och den fullständiga tillståndstabellen. Om räknaren skulle starta i något annat tillstånd än något av de fyra önskade one hot tillstånden, hur många klockpulser krävs det i värsta fall innan räknaren korrigerat detta och hamnar i den rätta sekvensen? 8

b) (p) Man kan även få samma one hot -sekvens från en Moore-automat med fyra tillstånd, se tillståndsdiagrammet till höger. Konstruera detta sekvensnät med D- vippor och valfria grindar. Använd figurens tillståndskodning. Rita kretsschema.. Lösningsförslag = d d = Efter högst tre klockpulser kommer man till one hot sekvensen! Q Q Q Q Inspektion av tabellen ger: Q = = Q Q Q Avkodning: A = Q Q C = Q Q B = Q Q D = Q Q 9

Del B. Designproblem Observera! Del B rättas endast om Du har mer än p på del AA.. 4p Sekvensdetektor. Olika tre i rad. Du ska konstruera en synkron sekvenskrets, i form av en positivt flanktriggad Moore-automat med D-vippor. Insignalerna a och b är synkroniserad med klockpulserna C. Utsignalen z ska bli när a och b varit olika i minst tre på varandra följande klockpulsintervall. För övriga sekvenser ska z vara lika med. a) (p) Ställ upp kretsens tillståndstabell och rita tillståndsdiagram. b) (p) Använd Graykod för att koda tillstånden och ställ upp den kodade tillståndstabellen. Tag fram de minimerade utrycken för nästa tillstånd och för utgångsvärdet. c) (p) Rita nästa tillståndsavkodarens grindnät, det finns bara tillgång till AND, OR, och XOR grindar.. Lösningsförslag z = 4. 6p Inside pulse detector. Ett asynkront sekvensnät jämför pulser som inkommer på två ingångar a och b. Pulsen på b ingången är alltid lite kortare än pulsen på a ingången, och det kommer högst en b-puls under intervallet a. b- pulsen kommer slumpvis i förhållande till a-pulsen. (Det förekommer inga exakt samtidiga händelser).

Sekvensnätet ska indikera det fallet när b har startat (blivit ) efter det att a startat (blivit ), och b har tagit slut (blivit ) innan a har slutat (blivit ). Utsignalen z ska då hållas = från b:s bakkant till a:s bakkant. z ska vara för alla andra fall. Se figurens tidsdiagram som visar detta fall. a) Ställ först upp en korrekt flödestabell för sekvensnätet. Du behöver nu från början inte bry dig om att minimera antalet tillstånd. Alla positioner i tabellen som inte kan förekomma enligt beskrivningen ovan ska vara markerade som don t care. b) Förenkla tillståndsdiagrammet genom att slå ihop kompatibla tillstånd. (Olika lösningar är möjliga, det finns bla en lösning med fyra tillstånd). c) Gör en lämplig tillståndstilldelning med en exitations-tabell som ger nät som är fria från kritisk kapplöpning. (Olika lösningar är möjliga, en lösning med två tillståndsvariabler finns som utnyttjar icke stabila övergångstillstånd och okritisk kapplöpning). Du skall även ta fram de hasardfria uttrycken för nästa tillstånd samt ett uttryck för utgångsvärdet, och rita grindnäten med valfria grindar.. Lösningsförslag derive state chart step by step - can not occur, double change in input * has not occurred in any of the possible seuences, then will not occur

Remove intersecting lines by inserting transition states to avoid hamming distance. z = y y y b = Hazard cover Lycka till!

Inlämningsblad för del A Blad ( tas loss och lämnas in tillsammans med lösningarna för del A och del B ) Efternamn: Förnamn: Personnummer: Blad: Skriv in dina svar för uppgifterna från del A ( till 8 ) Fråga Svar f ( x, y, z) = { PoS} =? y = 7 x = (8 x x) Signed decimal ±?? = f 5 Y = f ( A, B) =? 4,,, ) { }? ( x x x x = SoP min = 6 f ( c, b, a) = { PoS} min =? 7 Y = f(a,b,c,d) =? 8 9 T [ns] = Y <= (b AND c) OR (a AND NOT c) ; Nedanstående del fylls i av examinatorn! Del A () Del A () Del B () Totalt () Poäng 4 Summa Betyg