Digital- och datorteknik. Lars-Eric Arebrink. Av institutionen utgiven instruktionlista FLEXIBLE INSTRUKTION SET PROCESSOR FLISP

Relevanta dokument
TENTAMEN. Digital- och datorteknik. Institutionen för data- och informationsteknik Avdelningen för datorteknik LEU431. Lars-Eric Arebrink

Digital- och datorteknik

Digital- och datorteknik. Lars-Eric Arebrink. Betyg 4: 36 poäng Betyg 5: 48 poäng

TENTAMEN(Nu anpassad till FLIS- processorn)

Digital- och datorteknik. Lars-Eric Arebrink. vid flera tillfällen. Betyg 4: 36 poäng Betyg 5: 48 poäng

Digital- och datorteknik. Lars-Eric Arebrink. vid flera tillfällen. Betyg 4: 36 poäng Betyg 5: 48 poäng

Digital- och datorteknik

Digital- och datorteknik

Digital- och datorteknik. Lars-Eric Arebrink. Betyg 4: 36 poäng Betyg 5: 48 poäng

Digital- och datorteknik. Mekatronik-, data- och elektroingenjör Åk 1/ lp 1o2. Lars-Eric Arebrink. Av institutionen utgiven. vid flera tillfällen

Maskinorienterad programmering. Mekatronikingenjör åk 2/ lp 3. Lars-Eric Arebrink. Av institutionen utgiven. Lars-Eric Arebrink

TENTAMEN. Digital- och datorteknik E. Institutionen för data- och informationsteknik Avdelningen för datorteknik. Elektro Åk 1/ lp 4 EDA216/DIT790

TENTAMEN (Något redigerad)

Lars-Eric Arebrink. Av institutionen utgiven. vid flera tillfällen. Betyg 4: 36 poäng Betyg 5: 48 poäng

Maskinorienterad programmering

Maskinorienterad programmering

Tentamen. EDA432 Digital och datorteknik IT INN790 Digital och datorteknik GU. Måndag 23 oktober 2006, kl i V-salar

Maskinorienterad programmering. Mekatronikingenjör åk 2/ lp 3. Lars-Eric Arebrink. Av institutionen utgiven. vid flera tillfällen.

Exempel 3 på Tentamen

Tentamen. EDA432 Digital- och datorteknik, It DIT790 Digital- och datorteknik, GU. Onsdag 12 Januari 2011, kl

Tentamen 3. EDAxxx Grundläggande Datorteknik DIT791 Grundläggande Datorteknik, GU. Måndag xx Oktober 20xx, kl

CHALMERS TEKNISKA HÖGSKOLA

Exempel 2 på Tentamen med lösningar

Tentamen. EDA432 Digital- och datorteknik, IT DIT790 Digital- och datorteknik, GU. Måndag 18 Oktober 2010, kl

Tentamen. EDA452 Grundläggande Datorteknik, D DIT790 Digital- och datorteknik, GU. Måndag 17 December 2012, kl

EDA215 Digital- och datorteknik för Z

Assemblerprogrammeringsuppgifter för FLIS-processorn

LV6 LV7. Aktivera Kursens mål:

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15.

Ext-13 (Ver ) Exempel på RTN-beskrivning av FLEX-instruktioner

Maskinorienterad programmering

Tentamen med lösningar

Exempel 1 på Tentamen med lösningar

Styrenheten 9/17/2011. Styrenheten - forts Arb s 120. LV4 Fo10. Aktivera Kursens mål: Kap 7 Blå

Ext-13 (Ver ) Exempel på RTN-beskrivning av FLEX-instruktioner

exempel på Tentamen 2

Lösningsförslag tenta

ALU:n ska anslutas hur då?

Grundläggande Datorteknik Digital- och datorteknik

Struktur: Elektroteknik A. Digitalteknik 3p, vt 01. F1: Introduktion. Motivation och målsättning för kurserna i digital elektronik

Digital- och datorteknik

Tentamen (Svar och lösningsförslag)

Digital- och datorteknik

Tentamen. EDA485 Maskinorienterad programmering Z DAT015 Maskinorienterad programmering IT. Tisdag xx yyyy 2006, kl

Tentamen. EDA432 Digital- och datorteknik, It DIT790 Digital- och datorteknik, GU. Måndag 19 oktober 2009, kl

Digital- och datorteknik

Digital- och datorteknik

TENTAMEN (med svar och vissa lösningar)

Exempel på tentamen 1

Digital- och datorteknik

Digital- och datorteknik

Digital- och datorteknik

Extrauppgifter för CPU12

Digital- och datorteknik

Digital och Datorteknik EDA /2011. EDA 451 Digital och datorteknik 2010/2011. Uppbyggnad_och_funktion.pdf

Programexempel för FLEX

PARITETSKONTROLL. Om generatorn i vidstående exempel avkänner ett jämt antal ettor ger den en nolla ut. Detta innebär att överföringen

Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Digitalteknik EIT020. Lecture 15: Design av digitala kretsar

LEU431. Digital- och datorteknik. Diverse kompletterande material

EDA Digital och Datorteknik

EDA451 - Digital och Datorteknik 2010/2011. EDA Digital och Datorteknik

Tentamen. DAT015 Maskinorienterad programmering IT. Fredag 21 april 2006, kl i V-salar

EDA451 - Digital och Datorteknik 2010/2011. EDA Digital och Datorteknik 2010/2011

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Programmering i maskinspråk (Maskinassemblering)

Tentamen i Digital Design

EDA216. Digital- och datorteknik. Diverse kompletterande material

Digital- och datorteknik

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

Programmering i maskinspråk (Maskinassemblering)

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp

Adressavkodning - busskommunikation

Digital- och datorteknik

Ext-14 (Ver ) Ext-14. FLEX-processorns styrenhet med fast logik

Tentamen i EDA320 Digitalteknik för D2

Digital- och datorteknik

Tentamen. EDA485 Maskinorienterad programmering Z. Måndag 6 mars 2006, kl i V-salar

Digital- och datorteknik

Övningsuppgifterna i kapitel F avser FLIS-processorn, vars instruktioner och motsvarande koder definieras i INSTRUKTIONSLISTA FÖR FLISP.

9/22/2012. Assemblernivå Beskrivning av funktion Automatiskt styrd borrmaskin Positionera borr Starta borr Borra genom arbetsstycke...

Tentamen i Digitalteknik TSEA22

Lösningsförslag till tentamen i Digitalteknik, TSEA22

Laboration nr 3 behandlar

Digital- och datorteknik

Assemblerprogrammering del 1

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl

MANUALBLAD MODULER TILL DIGITALMASKINEN

Avbrottshantering. Övningsuppgifter

Centralenheten: ALU, dataväg och minne

Konstruera en dator mha grindar och programmera denna Använda en modern microcontroller

Tentamensskrivning 11 januari 2016

Reducerad INSTRUKTIONSLISTA för FLIS-processorn

EDA451 - Digital och Datorteknik 2009/2010. EDA Digital och Datorteknik 2009/2010. Binär Kodning, lärobokens kap.2

Digital- och datorteknik

Facit till övningsuppgifter Kapitel 13 Anslutning av minnes- och I/O-moduler till buss 13-1

Tentamen i Digitalteknik, EITF65

EDA451 - Digital och Datorteknik 2009/2010. EDA Digital och Datorteknik

Binär kodning. Binära koder. Tal och talsystem positionssystem för basen 10. Begrepp. Begrepp Tal och talsystem Talomvandling ASCII-kod NBCD Gray-kod

Transkript:

Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KURSNAMN Digital- och datorteknik PROGRAM: KURSBETECKNING Elektro Åk 1/ lp 4 EDA216 EXAMINATOR Lars-Eric Arebrink TID FÖR TENTAMEN 2014-05-27 kl 14.00 18.00 HJÄLPMEDEL Av institutionen utgiven instruktionlista FLEXIBLE INSTRUKTION SET PROCESSOR FLISP Tabellverk eller miniräknare får ej användas. ANSV LÄRARE: Lars-Eric Arebrink, tel. 772 5718 Besöker tentamen ANSLAG AV RESULTAT ÖVRIG INFORM. vid flera tillfällen När rättningen är färdig anslås resultatet med anonyma koder och tid för granskning på kursens hemsida. Tentamen omfattar totalt 60 poäng. Onödigt komplicerade lösningar kan ge poängavdrag. Svar på uppgifter skall motiveras. En svarsblankett finns sist i tentamenstesen. För de uppgifter där svaret skall ges på svarsblanketten behöver inga lösningar redovisas. Glöm inte lämna in svarsblanketten! BETYGSGRÄNSER. Betyg 3: 24 poäng Betyg 4: 36 poäng Betyg 5: 48 poäng SLUTBETYG För slutbetyg 3, 4 eller 5 på kursen fordras betyg 3, 4 eller 5 på tentamen och godkända laborationer.

1. I uppgift a-h nedan används 4-bitars tal X, Y, S och D. Aritmetiska operationer utförs på samma sätt och flaggor sätts på samma sätt som i ALU n i bilaga 1. För tal med tecken används 2k-representation. 2. 3. X = 0110 2 och Y = 1011 2. a) Vilket talområde måste X, Y, S och D tillhöra om de tolkas som tal utan tecken? (1p) b) Vilket talområde måste X, Y, S och D tillhöra om de tolkas som tal med tecken? (1p) c) Visa med papper och penna hur räkneoperationen S = X + Y utförs i en 4-bitars ALU. (1p) d) Vilka värden får flaggbitarna N, Z, V och C vid räkneoperationen i c)? (1p) e) Visa med papper och penna hur räkneoperationen D = X Y utförs i en 4-bitars ALU. (1p) f) Vilka värden får flaggbitarna N, Z, V och C vid räkneoperationen i e)? (1p) g) Tolka bitmönstren X, Y, S och D som tal utan tecken och ange deras decimala motsvarighet. Avgör och motivera med hjälp av flaggorna om resultaten S och D är korrekta eller felaktiga. (1p) h) Tolka bitmönstren X, Y, S och D som tal med tecken och ange deras decimala motsvarighet. Avgör och motivera med hjälp av flaggorna om resultaten S och D är korrekta eller felaktiga. (1p) i) Flyttalsformatet "binary64" har 1 teckenbit, 11 bitar karakteristika och 52 bitar "fraction". Visa approximativt hur många decimala siffrors upplösning detta ger. a) Markera det korrekta minimala PS-uttrycket för u i grindnätet till höger på den bifogade svarsblanketten! b) Ett karnaughdiagram för en boolesk funktion visas till höger. Markera ett minimalt uttryck på svarsblanketten som är lämpligt för realisering av den booleska funktionen f, då NOR-grindar med valfritt antal ingångar, XORgrindar och NOT-grindar får användas. Rutor med - representerar dont care -termer som får användas vid behov. Kretsrealiseringen behöver ej visas. (2p) (2p) cd f 00 01 11 10 00 0 0 0 1 ab 01 1 0-0 11-1 - - 10 1-1 0 (4p) a) Ett synkront sekvensnät skall ha en insignal x och en utsignal u. Utsignalen u skall ges värdet "1" under ett bitintervall för varje insignalsekvens bestående av två nollor följda av en etta och en nolla. Exempel: σ x =...0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 1 0 0 1 0 1... σ u =...? 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 1 0 0 1 0... Utsignalen skall som i exemplet ges värdet "1" när den sista biten i en korrekt insignalsekvens anländer på x-ingången och behålla värdet "1" så länge x har kvar sitt värde under detta bitintervall. Rita en tillståndsgraf för sekvensnätet. (Sekvensnätet skall ej realiseras!) Hur många vippor skulle minst krävas vid en realisering? (4p) b) Konstruera en reversibel 2-bitars synkron räknare med räknevillkoret x. För x = 0 skall räknesekvensen (q 1 q 0 ) 2 vara: 00, 01, 10, 11, 00, För x = 1 skall räknesekvensen (q 1 q 0 ) 2 vara: 00, 11, 10, 01, 00, a b 1 D-vippor, NAND-grindar med valfritt antal ingångar, XOR-grindar och NOT-grindar får användas. (6p) b c =1 1 & u 2(8)

4. I datavägen till höger innehåller register A(8) och B(8) från början värdena 100 10 resp. 160 10 på binär form. Därefter ges styrsignaler och klockpulser enligt tabellen på svarsblanketten. Komplettera tabellen på svarsblanketten med RTNbeskrivning samt hexadecimalt registerinnehåll för alla klockpulsintervall. Vid laddning av ett register skall det nya innehållet synas på raden efter laddningen i tabellen. Flaggorna sparas inte mellan klockpulserna i kopplingen ovan. Ett tidigare C-värde kan alltså inte användas i ett senare klockpulsintervall! CP LD A OE A Reg A 1 CP LD B OE B Reg B 1 D Funkt 0 0 M 1 1 U C 2 X C in C 3 1 2 CP LD R g 1 g 0 OE R CP LD T U ALU Reg R 1 Reg T E 3(8) Flaggor ALU-funktioner: Se bilaga 1 på sidan 6 i tentamenstesen! (4p) 5. Figuren på sidan 45 i instruktionslistan visar hur FLIS-datorn är uppbyggd. På sidorna 43 och 44 visas hur ALU ns funktion väljs med styrsignalerna f 3 - f 0 och C in. I en tabell på svarsblanketten visas EXECUTE-sekvensens styrsignaler för en av FLIS-processorns instruktioner. a) Komplettera tabellen med RTN-beskrivning och förklara vilken assemblerinstruktion som beskrivs! (2p) b) Med instruktionen "Bit SET" nedan skall man kunna ettställa valfria bitar i ett minnesord. De bitpositioner som skall ettställas skall vara ettställda i instruktionens tredje ord, mask. När instruktionen utförs skall det nya dataordet påverka N- och Z-flaggan. V-flaggan skall nollställas och C-flaggan skall vara oförändrad. Register A, X, Y eller SP får inte påverkas av instruktionen som skall implementeras för FLIS processorn med hjälp av styrenheten med fast logik. BSET Adr,#mask RTN: M(Adr) OR mask M(Adr), ALU(NZ) CC, 0 V, C C OPKOD Adr mask Komplettera tabellen på svarsblanketten med RTN-beskrivning och styrsignaler för den efterfrågade EXECUTE-sekvensen. Använd operationskoden EF 16. (5p)

6. Besvara kortfattat följande frågor rörande FLIS-processorn. a) Före det villkorliga hoppet "BPL Adr" i ett program utförs en addition "7A 16 + W", som påverkar flaggorna. För vilka värden på talet W utförs hoppet? (8-bitars tal används.) (4p) 4(8) b) Översätt programsekvensen till höger till maskinkod på hexadecimal form och visa hur den placeras i minnet. Det skall framgå hur offset för branch-instruktionerna beräknas. ORG $30 START LDY #10 LDX #TAB LOOP LDA,X+ STA $FB LEAY -1,Y CMPY #0 BPL LOOP LDA 12 BRA NEXT TAB RMB 11 NEXT NOP (3p) c) Hur lång tid tar programmet i b) att köra från och med LDY #10 till och med NOP om FLISprocessorn klockas med frekvensen 1MHz? (3p) 7. I minnet i ett datorsystem med FLIS-processorn finns en sträng med sjubitars ASCII-tecken, där varje ASCII-tecken har kompletterats med en paritetsbit som åttonde bit (bit nr 7). Strängen avslutas med ett dataord med värdet noll. Skriv en subrutin COUNT i assemblerpråk för FLIS-processorn som räknar det totala antalet ASCIItecknen i strängen samt antalet ASCII-tecken som motsvarar decimala siffror (dvs 0-9). Slutmarkeringen räknas ej som ASCII-tecken. Det totala antalet ASCII-tecken skall finnas i A-registret och antalet "decimala siffertecken" i X-registret vid återhopp. Vid anrop av subrutinen antas startadressen till strängen finnas i Y-registret. En tabell över ASCII-koden finns i bilaga 2. Endast registren A, X och CC får vara förändrade vid återhopp från subrutinen. För full poäng skall programmet vara "korrekt" radkommenterat. (6p)

5(8) 8. Ett mikrodatorsystem skall konstrueras med CPU12, 1 st 32 kbyte ROM-kapsel, 1 st 32 kbyte RWMkapsel, 2 st 8-bitars "three-state"-buffertar som inportar och 2 st 8-bitars register som utportar. Figuren nedan visar principen för anslutning av olika moduler till CPU12. Adressbuss E A 15 -A 0 R/W CS-logik RWM CS-logik ROM CS-logik In/Utportar Processor CPU12 CS R/W RWM CS ROM Indata (8) OE 1 Utdata(8) C Register (8) Databuss Adressområdet som består av de första 6k adresserna skall reserveras för framtida bruk. Ingen modul får därför aktiveras inom detta adressområde. ROM-modulens slutadress skall vara FFFF 16 och RWM-modulens slutadress skall vara 7FFF 16. På de två adresserna direkt efter RWM-modulen skall de två inportarna och utportar placeras. Det skall alltså finnas både en inport och en utport på var och en av dessa adresser. Uppgift: Använd lämpliga signaler från processorn för att konstruera CS-logiken för ROM-modulen, RWMmodulen, inportarna och utportarna. Använd fullständig adressavkodning. Endast grundläggande logikgrindar med valfritt antal ingångar får användas. De användbara adressintervallen för de två minnesmodulerna skall anges på hexadecimal form. (7p)

6(8) Bilaga 1 ALU för uppgift 4 D(8) E(8) Funktion C in ALU Flaggor U(8) ALU:ns logik- och aritmetikoperationer på indata D och E definieras av ingångarna Funktion (F) och C in enligt tabellen nedan. F = (f 3, f 2, f 1, f 0 ). I kolumnen Operation förklaras hur operationen utförs. f 3 f 2 f 1 f 0 U = f(d,e,c in ) Operation 0 0 0 0 bitvis nollställning Resultat 0 0 0 1 D 0 0 1 0 E 0 0 1 1 bitvis invertering D 1k 0 1 0 0 bitvis invertering E 1k 0 1 0 1 bitvis OR D OR E 0 1 1 0 bitvis AND D AND E 0 1 1 1 bitvis XOR D XOR E 1 0 0 0 D + 0 + C in D + C in 1 0 0 1 D + FFH + C in D 1 + C in 1 0 1 0 D + E + C in 1 0 1 1 D + D + C in 2D + C in 0 Carryflaggan (C) innehåller minnessiffran ut (carry-out) från den mest signifikanta bitpositionen (längst till vänster) om en aritmetisk operation utförs av ALU:n. Vid subtraktion gäller för denna ALU att C = 1 om lånesiffra (borrow) uppstår och C = 0 om lånesiffra inte uppstår. Carryflaggans värde är 0 vid andra operationer än aritmetiska. Overflowflaggan (V) visar om en aritmetisk operation ger "overflow" enligt reglerna för 2-komplementaritmetik. V-flaggans värde är 0 vid andra operationer än aritmetiska. Zeroflaggan (Z) visar om en ALU-operation ger värdet noll som resultat på U-utgången. Signflaggan (N) är identisk med den mest signifikanta biten (teckenbiten) av utsignalen U från ALU:n. Half-carryflaggan (H) är minnessiffran (carry) mellan de fyra minst signifikanta och de fyra mest signifikanta bitarna i ALU:n. H-flaggans värde är 0 vid andra operationer än aritmetiska. 1 1 0 0 D +E 1k + C in D E 1 + C in 1 1 0 1 bitvis nollställning 1 1 1 0 bitvis nollställning 0 0 1 1 1 1 bitvis ettställning FFH I tabellen ovan avser "+" och " " aritmetiska operationer. Med t ex D 1k menas att samtliga bitar i D inverteras.

0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 b 6b 5b 4 b 3b 2b 1b 0 7(8) Bilaga 2 Assemblerspråket för FLIS-processorn. Assemblerspråket använder sig av mnemoniska beteckningar liknande dem som processorkonstruktören MOTOROLA (FREESCALE) specificerat för maskininstruktioner för mikroprocessorerna 68XX och instruktioner till assemblatorn, s k pseudoinstruktioner eller assemblatordirektiv. Pseudoinstruktionerna listas i tabell 1. Tabell 1 Direktiv Förklaring ORG N Placerar den efterföljande koden med början på adress N. (ORG för ORiGin = ursprung) L RMB N Avsätter N bytes i följd i minnet (utan att ge dem värden), så att programmet kan använda dem. Följden placeras med början på adressen L. (RMB för Reseve Memory Bytes) L EQU N Ger symbolen L konstantvärdet N. (EQU för EQUates = beräknas till) L FCB N1,N2 Avsätter en byte för varje argument i följd i minnet. Respektive byte ges konstantvärdet N1, N2 etc. Följden placeras med början på adressen L. (FCB för Form Constant Byte) L FCS ABC Avsätter en byte för varje tecken i teckensträngen ABC i följd i minnet. Respektive byte ges ASCII-värdet för A B C, etc. Följden placeras med början på adressen L. (FCS för Form Character String) Tabell 2 7-bitars ASCII NUL DLE SP 0 @ P ` p 0 0 0 0 SOH DC1! 1 A Q a q 0 0 0 1 STX DC2 2 B R b r 0 0 1 0 ETX DC3 # 3 C S c s 0 0 1 1 EOT DC4 $ 4 D T d t 0 1 0 0 ENQ NAK % 5 E U e u 0 1 0 1 ACK SYN & 6 F V f v 0 1 1 0 BEL ETB 7 G W g w 0 1 1 1 BS CAN ( 8 H X h x 1 0 0 0 HT EM ) 9 I Y i y 1 0 0 1 LF SUB * : J Z j z 1 0 1 0 VT ESC + ; K [Ä k {ä 1 0 1 1 FF FS, < L \Ö l ö 1 1 0 0 CR GS - = M ]Å m }å 1 1 0 1 S0 RS. > N ^ n ~ 1 1 1 0 S1 US /? O _ o RUBOUT (DEL) 1 1 1 1

Svarsblankett (2014-05-27) Anonym kod: Uppgift 2: a) 1. u = a' + ab + ac 2. u = ab'c' 3. u = (a + b)(a + c) 4. u = a' + b + c 5. u = a'bc 6. u = (a'bc)' 7. u = a + b' + c' 8. u = (ab'c')' b) 1. f = d'(a b c)'(a' + d) 2. f = (a b c d)(a' + d) 3. f = [d + (a b c)](a + d') 4. f = [d' + (a b c)'](a' + d) 5. f = [a + (b c d)](a' + d) 6. f = [d(a b c)] + ad' 7. f = [c + (a b c)](c' + d) 8. f = [a' + (b c d)](a' + d) Uppgift 4: (Här används ALU'n i bilaga 1 på sidan 6!) CP Styrsignaler (=1) RTN A(8) B(8) T(8) R(8) 1 OE B, LD T 64 A0?? 2 OE A, f 3, f 1, LD R, LD T 3 OE R, f 3, f 1, f 0, g 0, LD R 4 OE R, f 3, f 2, LD R, LD T 5 OE R, f 3, f 1, LD R 6 OE R, LD B 7? Uppgift 5: a) State Summaterm RTN-beskrivning Styrsignaler Q 4 Q 4 I xx MR, LD T, INC PC Q 5 Q 5 I xx MR, g 12, LD T Q 6 Q 6 I xx OE A, f 3, f 2, g 1, g 0, LD R, LD CC Q 7 Q 7 I xx OE R, LD A, NF Instruktionen är: b) (Du kan skriva samma statenummer på flera rader vid behov!) State Summaterm RTN-beskrivning Styrsignaler