Tentamen. EDA432 Digital- och datorteknik, It DIT790 Digital- och datorteknik, GU. Måndag 19 oktober 2009, kl

Storlek: px
Starta visningen från sidan:

Download "Tentamen. EDA432 Digital- och datorteknik, It DIT790 Digital- och datorteknik, GU. Måndag 19 oktober 2009, kl"

Transkript

1 Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Tentamen EDA432 Digital- och datorteknik, It DIT790 Digital- och datorteknik, GU Måndag 19 oktober 2009, kl Examinatorer Rolf Snedsböl, tel Kontaktperson under tentamen Som ovan Tillåtna hjälpmedel Häften Instruktionslista för FLE Instruktionslista för CPU12 I dessa får rättelser och understrkningar vara införda, inget annat. Tabellverk och miniräknare får ej användas! Lösningar se kursens hemsida. Granskning Tid och plats anges på kursens hemsida. Allmänt Tentamen är uppdelad i del A och del B. På del A kan 30 poäng uppnås och på del B 20 poäng. Totalt 50 poäng på del A och del B tillsammans. För att del B av tentamen skall granskas och rättas krävs minst 20 poäng på del A. Del A bedöms och betgssätts utifrån bifogat svarsblankett. Poängsättning på del A anges vid varje uppgift. Siffror inom parentes anger poängintervallet på uppgiften. Fel svar kan ge poängavdrag. En obesvarad uppgift ger inte poängavdrag. De olika svarsalternativen a, b, c etc. kan innehålla korrekt svar nästan korrekt svar mer eller mindre fel svar helt fel svar inget korrekt svarsalternativ Svara med endast ett krss på varje uppgift Poängsättning på del B anges vid varje uppgift. Siffror inom parentes anger maximal poäng på uppgiften. För full poäng krävs att: redovisningen av svar och lösningar är läslig och tdlig. ett lösningsblad får endast innehålla redovisningsdelar som hör ihop med en uppgift. lösningen ej är onödigt komplicerad. du har motiverat dina val och ställningstaganden redovisningen av hårdvarukonstruktioner innehåller funktionsbeskrivning, lösning och realisering. redovisningen av mjukvarukonstruktioner i assembler är dokumenterade. Betgsättning För godkänt slutbetg på kursen fordras att både tentamen och laborationer är godkända. Tentamen ger slutbetget: 20p betg 3 < 30p betg 4 < 40p betg 5

2 Digital- och datorteknik, (14) DEL A fll i svarsblanketten sist i tesen och lämna in denna Uppgift 1 Talomvandling, koder, aritmetik och flaggor. Tvåkomplementsaritmetik. I uppgift a-c nedan används 5-bitars tal. = och Y = Uppgift 1a Vad blir resultatet R efter räkneoperationen R = Y a R = b R = c R = d R = e R = f R = Uppgift 1b Tolka R, och Y som tal utan tecken och ange dess decimala motsvarighet. a R = 8, = 18, Y = 26 b R = 7, = 26, Y = 17 c R = 0, = 26, Y = 15 d R = 8, = 26, Y = 18 e R = 7, = 26, Y = 18 f R = 2, =25, Y = 18 Uppgift 1c Tolka R, och Y som tal med tecken och ange dess decimala motsvarighet a R = 7, = 26, Y = -18 b R = 18, = -26, Y = -6 c R = 18, = 12, Y = -6 d R = 8, = 16, Y = -8 e R = 2, = 12, Y = -14 f R = 8, = -6, Y = -14 Uppgift 1d Vad blir flaggbitarna NZVC efter räkneoperationen R = + Y när = och Y = (En ettställd flaggbit anges med vesal och en nollställd flaggbit anges med gemen; exempelvis anger nzvc att N = 0, Z = 0, V = 1 och C = 1.) a NzVC b nzvc c NzVc d Nzvc e NzvC f nzvc Uppgift 1e Talomvandling Om det är möjligt, ange talet R = som ett tal P och som ett tal Q. P och Q är båda 7-bitars tal. P anges på tvåkomplementsform och Q anges på teckenbeloppsform. a P = , Q = b P = , Q = c P går ej, Q går ej d P går ej, Q = e P = , Q går ej f P = , Q = Poäng på uppgiften: [-1, 2]

3 Digital- och datorteknik, (14) Uppgift 2 Kombinatorik, switchnätalgebra Uppgift 2a Du har följande funktion disjunktiv minimal form. a b c d e f g h i j Uppgift 2b Du har följande funktion konjunktiv normal form. a b c d e f g h i j. Skriv funktionen på Poäng på uppgiften: [-1, 2]. Skriv funktionen på Poäng på uppgiften: [-1, 2]

4 Digital- och datorteknik, (14) Uppgift 2c Ett kombinatoriskt nät med fra insignaler x,, z, w och en utsignal f skall konstrueras. Insignalerna bildar ett binärtal (xzw) 2 [0,15] 10. För utsignalen f skall gälla att: f=0 för 3 (xzw) 2 9 f=1 i övrigt Vilket av nedanstående karnaughdiagram skall användas. x a) zw b) zw c) zw x x x d) zw e) zw f) zw x x Poäng på uppgiften: [-1, 2] Uppgift 3 Sekvensnät Uppgift 3a Ange funktionstabellen för en JK.vippa. a) b) c) d) e) f) QQ + J K QQ + J K QQ + J K JK Q + J K Q + J K Q Q 0 0 Q 0 0 Q * 1 1 Q Uppgift 3b Ange excitationstabellen för en SR.vippa. a) b) c) d) e) f) S R Q + S R Q + S R Q + QQ + S R QQ + S R QQ + S R Q 0 0 Q * 1 1 * 1 1 Q * * 1 1 * *

5 Digital- och datorteknik, (14) Uppgift 3c Analsera räknaren nedan. Vilken tabell motsvarar räknaren? CP Q 0 Q 1 Q 2 1T 1T 1T C1 CP C1 CP C1 a) b) c) Q 2 Q 1 Q 0 T 2 T 1 T 0 Q + 2 Q Q 0 Q 2 Q 1 Q 0 T 2 T 1 T 0 Q + 2 Q Q 0 Q 2 Q 1 Q 0 T 2 T 1 T 0 Q + 2 Q Q d) e) f) Q 2 Q 1 Q 0 T 2 T 1 T 0 Q + 2 Q Q 0 Q 2 Q 1 Q 0 T 2 T 1 T 0 Q + 2 Q Q 0 Q 2 Q 1 Q 0 T 2 T 1 T 0 Q + 2 Q Q Poäng på uppgiften: [-1, 3]

6 Digital- och datorteknik, (14) Uppgift 4 FLE strenhet Uppgift 4a En instruktion för FLE-processorn är SBCB Adr. Se instruktionslistan för FLE. Ange RTNbeskrivningen för utförandefasen för denna instruktion. (S anger aktuellt State) a b c S RTN-beskrivning S RTN-beskrivning S RTN-beskrivning 5 PC MA, PC+1 PC 5 PC MA, PC+1 PC 5 PC MA, PC+1 PC 6 M MA 6 M MA 6 M T 7 M T 7 M T 7 B T R, Flaggor CC 8 B T - C R, Flaggor CC 8 B T R, Flaggor CC 8 B - C R 9 R B 9 R - C R 9 R B 10 R B d e f S RTN-beskrivning S RTN-beskrivning S RTN-beskrivning 5 PC MA, PC+1 PC 5 PC MA, PC+1 5 PC MA 6 M T 6 M MA 6 M MA 7 B T C R, Flaggor CC 7 M T 7 M T 8 R B 8 B T 1K + C R, Flaggor CC 8 B+T 1K + C R, Flaggor CC 9 R B 9 R B Poäng på uppgiften: [-1, 2] Uppgift 4b I tabellen intill visas strsignalerna för EECUTE-sekvensen för en instruktion för FLE-processorn. NF i tabellens sista rad anger att nästa tillstånd (state) skall vara det första i FETCH-sekvensen. Vilken instruktion är det? S Strsignaler (= 1) 5 OE PC, LD MA, IncPC 6 MR, LD MA 7 MR, f 1, f 0, LD R, LD CC 8 OE R, MW, NF a INC Adr b NEG Adr c TST Adr d DEC Adr e COM Adr f CMP Adr

7 Digital- och datorteknik, (14) Uppgift 4c Ange vilken tabell som beskriver utförandet av operationen enligt nedanstående RTN-beskrivning: RTN-beskrivning: 3A -6B A Förutsätt att register A och B innehåller de data som skall beräknas. Register B får inte ändras. Använd så få tillstånd som möjligt. Vilket svarsalternativ väljer du? a b c S RTN-beskrivning S RTN-beskrivning S RTN-beskrivning 1 B T 1 2B R 1 2A R, A T 2 B+T R 2 R T 2 R+T R 3 R T 3 A-T R 3 R A 4 A-T R 4 2R R, R T 4 2B R, B T 5 R T 5 R+T R 5 R+T R 6 R+T R 6 R A 6 2R R 7 R+T R 7 R T 8 R+T R 8 A-T R 9 R A 9 R A d e f S RTN-beskrivning S RTN-beskrivning S RTN-beskrivning 1 2B R 1 2B R, 1 3A R 2 R T 2 R T 2 R A 3 A-T R 3 A-T R 3 6B R 4 2R R 4 2R R, R T 4 A-R R 5 R+T R 5 R+T A 5 R A 6 R A Poäng på uppgiften: [-1, 3]

8 Digital- och datorteknik, (14) Uppgift 5 FLE programmering Uppgift 5a Ange maskinkoden för FLE-processorn för instruktionssekvensen till höger. ORG $E4 Div2 EQU $A6 NEGA BSR Div2 LDAA #% INC Adr LD #Adr LDAA Data LEA $A, STAB -3, Data RMB 2 Adr FCB %1,11,10 SUBA Data a b c d e f Adr Maskin -kod Adr Maskin -kod Adr Maskinkod Adr Maskinkod Adr Adr Maskinkod Maskinkod E4 A6 E4 A6 E4 38 E4 38 E4 38 E4 38 E5 38 E5 38 E5 6B E5 6B E5 6B E5 6B E6 6A E6 6A E6 BF E6 BF E6 A6 E6 A6 E7 BF E7 BF E7 0F E7 0F E7 0F E7 0F E8 0F E8 0F E8 12 E8 12 E8 12 E8 12 E9 12 E9 12 E9 43 E9 43 E9 43 E9 43 EA 43 EA 43 EA F5 EA F3 EA F5 EA F5 EB F5 EB F6 EB 11 EB 11 EB 0D EB 0D EC 11 EC 11 EC F5 EC F3 EC F5 EC F5 ED F5 ED F6 ED 0B ED 0B ED 0F ED 0F EE 0F EE 0F EE F3 EE F2 EE F3 EE F3 EF F3 EF F4 EF 76 EF 77 EF 76 EF 76 F0 77 F0 76 F0 0A F0 90 F0 0A F0 0A F1 90 F1 0A F1 90 F1 FD F1 90 F1 90 F2 FD F2 90 F2 FD F2?? F2 FD F2 FD F3?? F3 FD F3?? F3 01 F3?? F3?? F4?? F4?? F4?? F4 0B F4?? F4?? F5 01 F5?? F5 01 F5 0A F5 01 F5 01 F6 0B F6 01 F6 0B F6 30 F6 0B F6 0B F7 0A F7 0B F7 0A F7 F2 F7 0A F7 0A F8 32 F8 0A F8 30 F8 F8 32 F8 32 F9 F3 F9 32 F9 F3 F9 F9 F3 F9 F3 FA FA F3 FA FA FA FA FB FB FB FB FB FB Poäng på uppgiften: [-1, 3]

9 Digital- och datorteknik, (14) Uppgift 5b För vilka värden på (0 255) kommer hoppet att utföras för BLO respektive BLT instruktionerna? LDAA # CMPA #132 BLO hoppa LDAA # CMPA #132 BLT hoppa a b c BLO: (0 131) BLO: (0 < 132) BLO: (0 131) BLT: ( ) BLT: (0 < 132) BLT: ( ) d e f BLO: (0 <132) BLO: (0 130) BLO: (0 131) BLT: Går Ej BLT: Går Ej BLT: (132 < 255) Poäng på uppgiften: [-1, 2] Uppgift 6 DEL B Svara på separata ark. Blanda inte uppgifter på samma ark. Vid simulatorpassen och i labbet använde du stömbrtarna (ML4 INPUT) och sifferindikatorn (ML4 OUTPUT). Du skall nu skriva ett program för CPU12 som hela tiden läser två NBCD-siffror P och Q från strömbrtarna (Inport, 8 bitar) visar P och Q på två sifferindikatorer utför en additionen R=P+Q skriver summan R till den tredje sifferindikatorn. P Q R Från inporten (8 bitar) läses två 4-bitars binära tal P och Q samtidigt. P hittas på [b 7,b 4 ] och Q hittas på [b 3,b 0 ]. Summan skall placeras i [b 3,b 0 ] för att omvandlas till segmentkod och skrivas till sifferindikatoren. Om summan P+Q är större än nio skall ett E (ERROR) skrivas ut. Du får förutsätta att P 9 och Q 9. Du har tillgång till en tabell med segmentkoder och följande defenitioner: Inport EQU xxxx Adress för inport UtportP EQU Adress för utport 1 UtportQ EQU zzzz Adress för utport 2 UtportR EQU wwww Adress för utport 3 Error EQU pp Segmentkod för E (Error) SegCode FCB xx,,zz,etc Tabell med segmentkoder för [0,9] Rita flödesplan och dokumentera ditt program (7p)

10 Digital- och datorteknik, (14) Uppgift 7 Ett grindnät med utsignalen f och de fra insignalerna x,, z och w är givet. x z 1 1 Grindnätet är uppbggt med OCH-, ELLER- och INVERTERARgrindar enligt figuren till höger. w 1 f Konstruera ett minimalt grindnät med NAND-grindar och INVERTERARE, som realiserar funktionen f? w 1 z w z (7p) Uppgift 8 Man vill införa en n mikroprogrammerad instruktion i FLE-datorn: MOVB n,,adr Move bte from n, (Indexed) to Adr (Absolute) Instruktion: MOVB n,,adr RTN: M(n +) M(Adr) Flaggor: Påverkas ej Beskrivning: Kopierar ett dataord från en minnesadress till en annan minnesadress. Maskininstruktionen består av tre btes och instruktionsformat och OP-kod visas av figuren: F5 n Adr Ledigt utrmme i mikrominne, adresser 4C0-4CF. Ledning: Instruktionen kan tänkas vara sammansatt av följande FLE-instruktioner: LDAA n, och STAA Adr Observera att MOVB n, inte ändrar register A. Visa hur instruktionens exekveringsfas kan implementeras hos en FLE med mikroprogrammerad strenhet. Svara i tabellform genom att kopiera följande uppställning: (6p) Adress Transfervillkor G K Transferadress Aktiva strsignaler RTN-beskrivning

11 Digital- och datorteknik, (14) LÖSNINGAR: Upg 6 Start LD #SegCode Pekare till tabell LDAB Inport Läs inporten TFR B,A Kopiera LSRA Skifta fram P LSRA LSRA LSRA MOVB A,,UtportP Skriv P ANDB #$0F Maska fram Q MOVB B,,UtportQ Skriv Q ABA Summan R CMPA #10 Giltigt värde BLO OK..hoppa om JA LDAB #Error Skriv Error STAB UtportR BRA End OK LDAB A, Översätt R till Segmentkod STAB UtportR.. och skriv ut End BRA Start Rita en flödesplan! Upg 7 1) Anals ger: 2) Funktionstabell x z w f x RITA MED NAND NAND. 3) Karnaughdiagram z w f

12 Digital- och datorteknik, (14) x 1 z f w Upg 8 Transfervillkor G K adress Transfer- Adress Aktiva strsignaler RTN-beskrivning F5 G F =1 4C0 OE PC, LD MA, IncPC PC MA, PC+1 PC 4C0 MR, LD T M T 4C1 OE, f 3, f 1, LD R, +T R 4C2 OE R, LD MA R MA 4C3 MR, f 0, LD R M R 4C4 OE PC, LD MA, IncPC PC MA, PC+1 PC 4C5 MR, LD MA M MA 4C6 G F =1 108 OE R, MW, NF R M, NF

13 Anonm kod: Digital- och datorteknik, (14) Svarsblankett för del A Uppg 1 1a uppgiften besvaras inte inget rätt svarsalternativ a b c d e f g h i j poäng 1b 1c 1d 1e Uppg 2 2a uppgiften besvaras inte inget rätt svarsalternativ a b c d e f g h i j poäng 2b 2c Uppg 3 3a uppgiften besvaras inte inget rätt svarsalternativ a b c d e f g h i j poäng 3b 3c Uppg 4 4a uppgiften besvaras inte inget rätt svarsalternativ a b c d e f g h i j poäng 4b 4c Uppg 5 5a uppgiften besvaras inte inget rätt svarsalternativ a b c d e f g h i j poäng 5b

Tentamen (Svar och lösningsförslag)

Tentamen (Svar och lösningsförslag) Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Tentamen (Svar och lösningsförslag) LEU430 Digital- och datorteknik Måndag 19 oktober 2009, kl. 8.30-12.30 Examinator Lars-Eric

Läs mer

Tentamen. EDA432 Digital- och datorteknik, It DIT790 Digital- och datorteknik, GU. Onsdag 12 Januari 2011, kl

Tentamen. EDA432 Digital- och datorteknik, It DIT790 Digital- och datorteknik, GU. Onsdag 12 Januari 2011, kl Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Tentamen EDA432 Digital- och datorteknik, It DIT790 Digital- och datorteknik, GU Onsdag 12 Januari 2011, kl. 14.00-18.00 Examinatorer

Läs mer

Tentamen. EDA432 Digital- och datorteknik, IT DIT790 Digital- och datorteknik, GU. Måndag 18 Oktober 2010, kl

Tentamen. EDA432 Digital- och datorteknik, IT DIT790 Digital- och datorteknik, GU. Måndag 18 Oktober 2010, kl Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Tentamen EDA432 Digital- och datorteknik, IT DIT790 Digital- och datorteknik, GU Måndag 18 Oktober 2010, kl. 8.30-12.30 Examinatorer

Läs mer

Tentamen. EDA452 Grundläggande Datorteknik, D DIT790 Digital- och datorteknik, GU. Måndag 17 December 2012, kl

Tentamen. EDA452 Grundläggande Datorteknik, D DIT790 Digital- och datorteknik, GU. Måndag 17 December 2012, kl Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Tentamen EDA452 Grundläggande Datorteknik, D DIT790 Digital- och datorteknik, GU Måndag 17 December 2012, kl. 8.30-12.30 Examinatorer

Läs mer

Exempel 2 på Tentamen med lösningar

Exempel 2 på Tentamen med lösningar Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Exempel 2 på Tentamen med lösningar Grundläggande datorteknik Examinator Kontaktperson under tentamen Tillåtna hjälpmedel Häfte

Läs mer

CHALMERS TEKNISKA HÖGSKOLA

CHALMERS TEKNISKA HÖGSKOLA Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Tentamen EDA217 Grundläggande Datortekik, Z EDA433 Grundläggande Datortekik, IT EDA452 Grundläggande Datortekik, D DIT790 Digital-

Läs mer

Tentamen 3. EDAxxx Grundläggande Datorteknik DIT791 Grundläggande Datorteknik, GU. Måndag xx Oktober 20xx, kl

Tentamen 3. EDAxxx Grundläggande Datorteknik DIT791 Grundläggande Datorteknik, GU. Måndag xx Oktober 20xx, kl Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Tentamen 3 EDAxxx Grundläggande Datorteknik DIT791 Grundläggande Datorteknik, GU Måndag xx Oktober 20xx, kl. 8.30-12.30 Examinator

Läs mer

Exempel 3 på Tentamen

Exempel 3 på Tentamen Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Exempel 3 på Tentamen Grundläggande datorteknik Examinator Kontaktperson under tentamen Tillåtna hjälpmedel Häfte Instruktionslista

Läs mer

Tentamen med lösningar

Tentamen med lösningar Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Tentamen med lösningar EDA452 Grundläggande Datorteknik, D DIT790 Digital- och datorteknik, GU Måndag xx Oktober 20xx, kl. 8.30-12.30

Läs mer

Exempel 1 på Tentamen med lösningar

Exempel 1 på Tentamen med lösningar Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Exempel 1 på Tentamen med lösningar Grundläggande datorteknik Examinator Kontaktperson under tentamen Tillåtna hjälpmedel Häfte

Läs mer

Tentamen. EDA432 Digital och datorteknik IT INN790 Digital och datorteknik GU. Måndag 23 oktober 2006, kl i V-salar

Tentamen. EDA432 Digital och datorteknik IT INN790 Digital och datorteknik GU. Måndag 23 oktober 2006, kl i V-salar EDA432 Digital och datorteknik IT INN790 Digital och datorteknik GU Tentamen Måndag 23 oktober 2006, kl. 08.30 12.30 i V-salar Examinatorer Rolf Snedsböl, tel. 772 1665 Kontaktpersoner under tentamen Som

Läs mer

EDA215 Digital- och datorteknik för Z

EDA215 Digital- och datorteknik för Z EDA25 Digital- och datorteknik för Z Tentamen Måndag 7 december 2007, kl. 08.30-2.30 i M-salar Examinatorer Rolf Snedsböl, tel. 772 665 Kontaktpersoner under tentamen Som ovan. Tillåtna hjälpmedel Häftet

Läs mer

Ext-13 (Ver ) Exempel på RTN-beskrivning av FLEX-instruktioner

Ext-13 (Ver ) Exempel på RTN-beskrivning av FLEX-instruktioner Ext-3 (Ver 203-04-2) Exempel på RTN-beskrivning av FLEX-instruktioner. Figur på sidan 2 i detta häfte visar hur datorn FLEX är uppbyggd. På sidan visas dessutom hur ALU:ns funktion väljs med styrsignalerna

Läs mer

Digital- och datorteknik

Digital- och datorteknik Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KRSNAMN Digital- och datorteknik PROGRAM: KRSBETECKNING Data-, elektro- och mekatronikingenjör Åk / lp och LE43 EXAMINATOR

Läs mer

Ext-13 (Ver ) Exempel på RTN-beskrivning av FLEX-instruktioner

Ext-13 (Ver ) Exempel på RTN-beskrivning av FLEX-instruktioner Ext-3 (Ver 204-04-08) Exempel på RTN-beskrivning av FLEX-instruktioner. Figur på sidan 2 i detta häfte visar hur datorn FLEX är uppbyggd. På sidan visas dessutom hur ALU:ns funktion väljs med styrsignalerna

Läs mer

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15.

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15. Aktivera Kursens mål: LV3 Fo7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

Digital- och datorteknik

Digital- och datorteknik Dessa sidor innehåller ett antal typ-prov som delas ut vid laborationerna. Syfte med dessa prov är att du skall känna att du hänger med på kursen att vi som godkänner dig på laborationsmomenten ser att

Läs mer

Styrenheten 9/17/2011. Styrenheten - forts Arb s 120. LV4 Fo10. Aktivera Kursens mål: Kap 7 Blå

Styrenheten 9/17/2011. Styrenheten - forts Arb s 120. LV4 Fo10. Aktivera Kursens mål: Kap 7 Blå Aktivera Kursens mål: LV4 Fo10 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Koppla samman register och ALU till en dataväg Minnets uppbyggnad och anslutning till datavägen

Läs mer

Digital- och datorteknik

Digital- och datorteknik Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KURSNAMN Digital- och datorteknik PROGRAM: KURSBETECKNING Mekatronikingenjör (samt data- och elektroingenjör) Åk / lp

Läs mer

LV6 LV7. Aktivera Kursens mål:

LV6 LV7. Aktivera Kursens mål: Aktivera Kursens mål: LV6 LV7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

Digital- och datorteknik

Digital- och datorteknik Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KRSNAMN Digital- och datorteknik PROGRAM: KRSBETECKNING EXAMINATOR Data-, elektro- och mekatronikingenjör Åk / lp och

Läs mer

ALU:n ska anslutas hur då?

ALU:n ska anslutas hur då? Aktivera Kursens mål: LV3 Fo7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

Digital- och datorteknik. Mekatronik-, data- och elektroingenjör Åk 1/ lp 1o2. Lars-Eric Arebrink. Av institutionen utgiven. vid flera tillfällen

Digital- och datorteknik. Mekatronik-, data- och elektroingenjör Åk 1/ lp 1o2. Lars-Eric Arebrink. Av institutionen utgiven. vid flera tillfällen Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KURSNAMN Digital- och datorteknik PROGRAM: KURSBETECKNING Mekatronik-, data- och elektroingenjör Åk / lp o2 LEU43 EXAMINATOR

Läs mer

TENTAMEN. Digital- och datorteknik E. Institutionen för data- och informationsteknik Avdelningen för datorteknik. Elektro Åk 1/ lp 4 EDA216/DIT790

TENTAMEN. Digital- och datorteknik E. Institutionen för data- och informationsteknik Avdelningen för datorteknik. Elektro Åk 1/ lp 4 EDA216/DIT790 Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KRSNAMN Digital- och datorteknik E PROGRAM: KRSBETECKNING Elektro Åk / lp 4 EDA26/DIT790 EXAMINATOR Lars-Eric Arebrink

Läs mer

Lars-Eric Arebrink. Av institutionen utgiven. vid flera tillfällen. Betyg 4: 36 poäng Betyg 5: 48 poäng

Lars-Eric Arebrink. Av institutionen utgiven. vid flera tillfällen. Betyg 4: 36 poäng Betyg 5: 48 poäng Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KRSNAMN Digital- och datorteknik E (Något redigerad) PROGRAM: KRSBETECKNING Elektro Åk / lp 4 EDA26/DIT790 EXAMINATOR

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #15 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Dataväg med pekarregister och stackpekare: I vår sjunde,

Läs mer

TENTAMEN (Något redigerad)

TENTAMEN (Något redigerad) Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN (Något redigerad) KRSNAMN Digital- och datorteknik PROGRAM: KRSBETECKNING Data-, elektro- och mekatronikingenjör Åk /

Läs mer

exempel på Tentamen 2

exempel på Tentamen 2 7 Digital- och datorteknik, tentamen 00-0-YY (9) Digital- och datorteknik - har du uppnått kursmålen? exempel på Tentamen. Blandade frågor: a) I figur visas den kodskiva som du arbetat med på laboration.

Läs mer

Digital- och datorteknik. Lars-Eric Arebrink. vid flera tillfällen. Betyg 4: 36 poäng Betyg 5: 48 poäng

Digital- och datorteknik. Lars-Eric Arebrink. vid flera tillfällen. Betyg 4: 36 poäng Betyg 5: 48 poäng Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KRSNAMN Digital- och datorteknik PROGRAM: KRSBETECKNING Elektro Åk / lp 4 EDA26 EXAMINATOR Lars-Eric Arebrink TID FÖR

Läs mer

Lösningsförslag till tentamen i Digitalteknik, TSEA22

Lösningsförslag till tentamen i Digitalteknik, TSEA22 Försättsblad till skriftlig tentamen vid Linköpings universitet, Datorteknik, ISY (4) Lösningsförslag till tentamen i Digitalteknik, TSEA Datum för tentamen 3009 Salar U4, U7, U0 Tid 4.00-8.00 Kurskod

Läs mer

TENTAMEN(Nu anpassad till FLIS- processorn)

TENTAMEN(Nu anpassad till FLIS- processorn) Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN(Nu anpassad till FLIS- processorn) KRSNAMN Digital- och datorteknik PROGRAM: KRSBETECKNING Elektro Åk / lp 4 EDA26 EXAMINATOR

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #13 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Vad kännetecknar en tillståndsmaskin? En synkron tillståndsmaskin

Läs mer

EDA Digital och Datorteknik

EDA Digital och Datorteknik Digital och Datorteknik EDA45 200/20 EDA 45 - Digital och Datorteknik Dagens föreläsning:, Extra material Ext 8 Ur innehållet: Programmerarens bild av FLEX Instruktionsuppsättning Register åtkomliga för

Läs mer

Digital- och datorteknik. Lars-Eric Arebrink. vid flera tillfällen. Betyg 4: 36 poäng Betyg 5: 48 poäng

Digital- och datorteknik. Lars-Eric Arebrink. vid flera tillfällen. Betyg 4: 36 poäng Betyg 5: 48 poäng Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KURSNAMN Digital- och datorteknik PROGRAM: Data-, elektro- och mekatronikingenjör åk / lp och 2 KURSBETECKNING LEU43

Läs mer

Grundläggande Datorteknik Digital- och datorteknik

Grundläggande Datorteknik Digital- och datorteknik Grundläggande Datorteknik Digital- och datorteknik Kursens mål: Fatta hur en dator är uppbggd (HDW) Fatta hur du du programmerar den (SW) Fatta hur HDW o SW samverkar Digital teknik Dator teknik Grundläggande

Läs mer

Digital- och datorteknik. Lars-Eric Arebrink. Av institutionen utgiven instruktionlista FLEXIBLE INSTRUKTION SET PROCESSOR FLISP

Digital- och datorteknik. Lars-Eric Arebrink. Av institutionen utgiven instruktionlista FLEXIBLE INSTRUKTION SET PROCESSOR FLISP Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KURSNAMN Digital- och datorteknik PROGRAM: KURSBETECKNING Elektro Åk 1/ lp 4 EDA216 EXAMINATOR Lars-Eric Arebrink TID

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #15 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Dataväg med pekarregister och stackpekare: I vår sjunde,

Läs mer

Övningsuppgifterna i kapitel F avser FLIS-processorn, vars instruktioner och motsvarande koder definieras i INSTRUKTIONSLISTA FÖR FLISP.

Övningsuppgifterna i kapitel F avser FLIS-processorn, vars instruktioner och motsvarande koder definieras i INSTRUKTIONSLISTA FÖR FLISP. Övningsuppgifter Övningsuppgifterna i kapitel F avser FLIS-processorn, vars instruktioner och motsvarande koder definieras i INSTRUKTIONSLISTA FÖR FLISP. F.2 Ett antal på varandra följande minnesord har

Läs mer

EDA451 - Digital och Datorteknik 2010/2011. EDA Digital och Datorteknik 2010/2011

EDA451 - Digital och Datorteknik 2010/2011. EDA Digital och Datorteknik 2010/2011 EDA 451 - Digital och Datorteknik 2010/2011 Ur innehållet: Vi repeterar kursens lärandemål Diskussion i kring övningstentor t Övriga frågor 1 Lärandemål Det övergripande målet är att den studerande ska

Läs mer

TENTAMEN. Digital- och datorteknik. Institutionen för data- och informationsteknik Avdelningen för datorteknik LEU431. Lars-Eric Arebrink

TENTAMEN. Digital- och datorteknik. Institutionen för data- och informationsteknik Avdelningen för datorteknik LEU431. Lars-Eric Arebrink Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KURSNAMN Digital- och datorteknik PROGRAM: Data-, elektro- och mekatronikingenjör åk / lp och 2 KURSBETECKNING LEU43

Läs mer

Digital- och datorteknik. Lars-Eric Arebrink. Betyg 4: 36 poäng Betyg 5: 48 poäng

Digital- och datorteknik. Lars-Eric Arebrink. Betyg 4: 36 poäng Betyg 5: 48 poäng Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KURSNAMN Digital- och datorteknik PROGRAM: KURSBETECKNING Elektro Åk / lp 4 EDA26 EXAMINATOR Lars-Eric Arebrink TID FÖR

Läs mer

Tentamen EDAA05 Datorer i system

Tentamen EDAA05 Datorer i system LUNDS TEKNISKA HÖGSKOLA 1(5) Institutionen för datavetenskap Tentamen EDAA05 Datorer i system 2011 10 17, 8.00 13.00 Tillåtna hjälpmedel: bifogad formel- och symbolsamling. För godkänt betyg på tentamen

Läs mer

Tentamen i EDA320 Digitalteknik för D2

Tentamen i EDA320 Digitalteknik för D2 CHALMERS TEKNISKA HÖGSKOLA Institutionen för datorteknik Tentamen i EDA320 Digitalteknik för D2 Tentamenstid: onsdagen den 2 mars 997 kl 4.5-8.5. Sal: vv Examinator: Peter Dahlgren Tel. expedition 03-772677.

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #8 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Aritmetik i digitala system Grindnät för addition: Vi

Läs mer

Assemblerprogrammering

Assemblerprogrammering 2012-02-14 Assemblerprogrammering Övningsuppgifter 2011 Lösningar (Med reservation för diverse fel!) 1. Hur många E-klockperioder använder CPU12 (HCS12) för att köra programsekvensen nedan? ORG $1000 LDAA

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #18 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Assemblerprogrammering Assemblatorer vs kompilatorer

Läs mer

Konstruera en dator mha grindar och programmera denna Använda en modern microcontroller

Konstruera en dator mha grindar och programmera denna Använda en modern microcontroller Aktivera Kursens mål: LV5 Fo12 Konstruera en dator mha grindar och programmera denna Använda en modern microcontroller Aktivera Förra veckans mål: Konstruera styrenheten. genom att. implementera olika

Läs mer

Grundläggande datorteknik - exempelsamling. Grundläggande datorteknik - exempelsamling

Grundläggande datorteknik - exempelsamling. Grundläggande datorteknik - exempelsamling Grundläggande datorteknik - eempelsamling Grundläggande datorteknik - eempelsamling Institutionen för Data och Informationsteknik Chalmers tekniska högskola Göteborg VT-204 Grundläggande datorteknik -

Läs mer

Lösningsförslag tenta

Lösningsförslag tenta Lösningsförslag tenta 2013-12-16 (Version 5 med reservation för eventuella fel. Uppdaterad 140417.) 1. X = 1010 0101 2 ; Y = 0101 1011 2 (8 bitars ordlängd) a) [0, 2 n 1] = [0, 2 8 1] = [0, 255] b) [ 2

Läs mer

Grundläggande datorteknik exempelsamling

Grundläggande datorteknik exempelsamling Förord: Grundläggande datorteknik eempelsamling Versioner: 7 Juni 200: Version, HT200 5 September 200: Rättelse till facit, 5.5 och 5.7. 20 September 200: Rättelse till facit, 5.6 2 September 200: Formulering

Läs mer

Tentamen i IE1204/5 Digital Design måndagen den 15/

Tentamen i IE1204/5 Digital Design måndagen den 15/ Tentamen i IE1204/5 Digital Design måndagen den 15/10 2012 9.00-13.00 Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista IE1204), Tentamensuppgifterna

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #14 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Vad vi har åstadkommit hittills: Med hjälp av kombinatoriska

Läs mer

Villkorliga hopp: 9/26/2011. Dagens mål: Du ska kunna.. Villrorliga (Relativa) hopp - forts Arb s 140. LV5 Fo12. LV5 Fo12. Aktivera Kursens mål:

Villkorliga hopp: 9/26/2011. Dagens mål: Du ska kunna.. Villrorliga (Relativa) hopp - forts Arb s 140. LV5 Fo12. LV5 Fo12. Aktivera Kursens mål: Aktivera Kursens mål: Konstruera en dator mha grindar och programmera denna Använda en modern microcontroller Aktivera Förra veckans mål: Konstruera styrenheten. genom att. implementera olika maskininstruktioner

Läs mer

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl Tentamen TSEA22 Digitalteknik 5 juni, 2015, kl. 08.00-12.00 Tillåtna hjälpmedel: Inga. Ansvarig lärare: Mattias Krysander Visning av skrivningen sker mellan 10.00-10.30 den 22 juni på Datorteknik. Totalt

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #8 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Assemblatorer vs kompilatorer En assemblator är ett program

Läs mer

Tentamen med lösningar för IE1204/5 Digital Design Torsdag 15/

Tentamen med lösningar för IE1204/5 Digital Design Torsdag 15/ Tentamen med lösningar för IE4/5 Digital Design Torsdag 5/ 5 9.-. Allmän information Eaminator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist, tel 8-79 44 87. KTH Valhallavägen, Fredrik Jonsson,

Läs mer

Maskinorienterad programmering. Mekatronikingenjör åk 2/ lp 3. Lars-Eric Arebrink. Av institutionen utgiven. Lars-Eric Arebrink

Maskinorienterad programmering. Mekatronikingenjör åk 2/ lp 3. Lars-Eric Arebrink. Av institutionen utgiven. Lars-Eric Arebrink Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KURSNAMN Maskinorienterad programmering PROGRAM: Dataingenjör och elektroingenjör åk 1/ lp 3 Mekatronikingenjör åk 2/

Läs mer

Programexempel för FLEX

Programexempel för FLEX Aktivera Kursens mål: Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruera styrenheten. genom att. implementera olika maskininstruktioner i styrenheten. Kunna använda

Läs mer

Digital- och datorteknik. Lars-Eric Arebrink. Betyg 4: 36 poäng Betyg 5: 48 poäng

Digital- och datorteknik. Lars-Eric Arebrink. Betyg 4: 36 poäng Betyg 5: 48 poäng Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KURSNAMN Digital- och datorteknik PROGRAM: KURSBETECKNING Elektro Åk / lp 4 EDA26 EXAMINATOR Lars-Eric Arebrink TID FÖR

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #9 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola ekvensnät Vad kännetecknar ett sekvensnät? I ett sekvensnät

Läs mer

Extrauppgifter för CPU12

Extrauppgifter för CPU12 1 Extrauppgifter för CPU12 X1a) Skriv en instruktionssekvens som nollställer bit 3-0 i alla minnesord i adressintervallet 2035H, 2049H Använd X-registret för adressering X1b) Skriv en subrutin som maskerar

Läs mer

Institutionen för systemteknik, ISY, LiTH. Tentamen i. Tid: kl

Institutionen för systemteknik, ISY, LiTH. Tentamen i. Tid: kl Institutionen för systemteknik, ISY, LiTH Tentamen i Digitalteknik TSIU05/TEN1 Tid: 2016 10 26 kl. 14 18 Lokal : TER3 TER4 Ansvarig lärare: Michael Josefsson. Besöker lokalen kl 16. Tel.: 013-28 12 64

Läs mer

Tentamen i Digitalteknik, EITF65

Tentamen i Digitalteknik, EITF65 Elektro- och informationsteknik Tentamen i Digitalteknik, EITF65 3 januari 2018, kl. 14-19 Skriv anonymkod och identifierare, eller personnummer, på alla papper. Börja en ny uppgift på ett nytt papper.

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2010-08-27 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #5 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Vad är ett bra grindnät? De egenskaper som betraktas som

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2009-08-28 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Institutionen för elektro- och informationsteknik Campus Helsingborg, LTH 2018-01-09 8.00-13.00 (förlängd 14.00) Uppgifterna i tentamen

Läs mer

9/22/2012. Assemblernivå Beskrivning av funktion Automatiskt styrd borrmaskin Positionera borr Starta borr Borra genom arbetsstycke...

9/22/2012. Assemblernivå Beskrivning av funktion Automatiskt styrd borrmaskin Positionera borr Starta borr Borra genom arbetsstycke... LV4 Fo10 Aktivera Kursens mål: Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Koppla samman register och ALU till en dataväg Minnets uppbyggnad och anslutning till datavägen

Läs mer

Föreläsningsanteckningar 2. Mikroprogrammering I

Föreläsningsanteckningar 2. Mikroprogrammering I Föreläsningsanteckningar 2. Mikroprogrammering I Olle Seger 2012 Anders Nilsson 2016 Innehåll 1 Inledning 2 2 En enkel dator 2 3 Komponenter 3 3.1 Register............................... 3 3.2 Universalräknare..........................

Läs mer

11/16/2012. Assemblernivå Beskrivning av funktion Automatiskt styrd borrmaskin Positionera borr Starta borr Borra genom arbetsstycke...

11/16/2012. Assemblernivå Beskrivning av funktion Automatiskt styrd borrmaskin Positionera borr Starta borr Borra genom arbetsstycke... LV4 Fo10 Aktivera Kursens mål: Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Koppla samman register och ALU till en dataväg Minnets uppbyggnad och anslutning till datavägen

Läs mer

Digital och Datorteknik EDA /2010. EDA Digital och Datorteknik

Digital och Datorteknik EDA /2010. EDA Digital och Datorteknik EDA 45 - Digital och Datorteknik Dagens föreläsning: Assemblerprogrammering för FLEX, Extra material Ext 8 Ur innehållet: Programmerarens bild av FLEX Instruktionsuppsättning Register åtkomliga för programmeraren

Läs mer

Dig o Dat. Digital och Datorteknik. Kursens mål: Digital teknik Dator teknik. Dig o Dat = DoD

Dig o Dat. Digital och Datorteknik. Kursens mål: Digital teknik Dator teknik. Dig o Dat = DoD Digital och Datorteknik Dig o Dat Fo Dig o Dat = DoD LP EDA432 (IT), DIT79 (GU) LP2 EDA25 (Z), DIT79 (GU), EDA45 (D) Digital och Datorteknik fo Digital och Datorteknik fo 2 tterligare kurser kopplade till

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #17 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Tallriksmodellen Stackoperationer Element kan endast

Läs mer

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp Tentamen i Digitala system EITA5 5hp varav denna tentamen 4,5hp Institutionen för elektro och informationsteknik Campus Helsingborg, LTH 289 8. 3. (förlängd 4.) Uppgifterna i tentamen ger totalt 6 poäng.

Läs mer

Grundläggande Datorteknik Digital- och datorteknik

Grundläggande Datorteknik Digital- och datorteknik Grundläggande Datorteknik Digital- och datorteknik Kursens mål: Fatta hur en dator är uppbggd (HDW) Fatta hur du du programmerar den (SW) Fatta hur HDW o SW samverkar Digital teknik Dator teknik 2 Lärandemål:

Läs mer

Assemblerprogrammeringsuppgifter för FLIS-processorn

Assemblerprogrammeringsuppgifter för FLIS-processorn 1 (2013-05-02) Assemblerprogrammeringsuppgifter för FLIS-processorn 1. I simulatorn för FLISP-datorn kan man ansluta strömbrytarmodulen DIPSWITCH till en inport och sifferindikatorn 7-SEGMENT till en utport.

Läs mer

Maskinorienterad programmering

Maskinorienterad programmering Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KURSNAMN Maskinorienterad programmering PROGRAM: Dataingenjör och elektroingenjör åk 1/ lp 3 Mekatronikingenjör åk 2/

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2011-08-26 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna hjälpmedel

Läs mer

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp Institutionen för elektro- och informationsteknik Campus Helsingborg, LTH 2016-12-22 8.00-13.00 Uppgifterna i tentamen ger totalt 60

Läs mer

Laboration nr 3 behandlar

Laboration nr 3 behandlar (2013-04-20) Laboration nr 3 behandlar Konstruktion och test av instruktioner (styrsignalsekvenser) för FLISP Följande uppgifter ur Arbetsbok för DigiFlisp ska vara utförda som förberedelse för laborationen.

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #6 Biträdande proessor Jan Jonsson Institutionen ör data- och inormationsteknik Chalmers tekniska högskola Kursutvärderingsprocessen Kursrepresentanter i LEU43: Följande

Läs mer

Ext-14 (Ver 2005-11-21) Ext-14. FLEX-processorns styrenhet med fast logik

Ext-14 (Ver 2005-11-21) Ext-14. FLEX-processorns styrenhet med fast logik Ext-4 (Ver 2005--2) Ext-4 FLEX-processorns styrenhet med fast logik 2 Ext-4 FLEX-processorns styrenhet med fast logik En styrenhet för FLEX-processorn skall kunna generera alla styrsignaler till datavägen

Läs mer

Facit till övningsuppgifter Kapitel 4 Kombinatoriska nät Rita in funktionen i ett Karnaughdiagram och minimera

Facit till övningsuppgifter Kapitel 4 Kombinatoriska nät Rita in funktionen i ett Karnaughdiagram och minimera Facit till övningsuppgiter Kapitel 4 Kombinatoriska nät 4-4. Rita in unktionen i ett Karnaughdiagram och minimera ör disjunktiv orm z w ör konjunktiv orm z w a) ='z'+w c) = ( + z')(w + ') = (de Morgan)

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2009-06-04 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

Tentamen i IE1204/5 Digital Design Torsdag 29/

Tentamen i IE1204/5 Digital Design Torsdag 29/ Tentamen i IE1204/5 Digital Design Torsdag 29/10 2015 9.00-13.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist

Läs mer

Maskinorienterad programmering. Mekatronikingenjör åk 2/ lp 3. Lars-Eric Arebrink. Av institutionen utgiven. vid flera tillfällen.

Maskinorienterad programmering. Mekatronikingenjör åk 2/ lp 3. Lars-Eric Arebrink. Av institutionen utgiven. vid flera tillfällen. Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KURSNAMN Maskinorienterad programmering PROGRAM: Dataingenjör och elektroingenjör åk 1/ lp 3 Mekatronikingenjör åk 2/

Läs mer

EDA Digital och Datorteknik

EDA Digital och Datorteknik EDA 45 - Digital och Datorteknik Dagens föreläsning:, Arbetsboken kapitel 5-8 Ur innehållet: Läs- och skrivbart minne Dataväg med manuell styrenhet Centralenhetens t arbetssätt: FETCH/EXECUTE Vi ansluter

Läs mer

Tentamen. EDA485 Maskinorienterad programmering Z DAT015 Maskinorienterad programmering IT. Tisdag xx yyyy 2006, kl. 8.30-12.

Tentamen. EDA485 Maskinorienterad programmering Z DAT015 Maskinorienterad programmering IT. Tisdag xx yyyy 2006, kl. 8.30-12. EDA485 Maskinorienterad programmering Z DAT015 Maskinorienterad programmering IT Tentamen Tisdag xx yyyy 2006, kl. 8.30-12.30 i V-salar Examinatorer Rolf Snedsböl, tel. 772 1665 Jan Skansholm, tel. 772

Läs mer

Tentamen i Digitalteknik 5p

Tentamen i Digitalteknik 5p Dan Weinehall Håkan Joëlson 007-0-09 ELEA5 Tentamen i Digitalteknik 5p Datum: 007-0-09 Tid: 09:00-5:00 Sal: Hjälpmedel: VHDL-kompendierna: Grunderna i VHDL, Strukturell VHDL och testbädd Labinstruktioner

Läs mer

Tentamen. Datorteknik Y, TSEA28

Tentamen. Datorteknik Y, TSEA28 Tentamen Datorteknik Y, TSEA28 Datum 2015-06-01 Lokal Tid 14-18 Kurskod Provkod Kursnamn Provnamn Institution Antal frågor 6 Antal sidor (inklusive denna sida) 6 Kursansvarig Lärare som besöker skrivsalen

Läs mer

Tentamen. Datorteknik Y, TSEA28

Tentamen. Datorteknik Y, TSEA28 Tentamen Datorteknik Y, TSEA28 Datum 2015-08-18 Lokal TERE, TER4 Tid 14-18 Kurskod TSEA28 Provkod TEN1 Kursnamn Provnamn Datorteknik Y Skriftlig tentamen Institution ISY Antal frågor 7 Antal sidor (inklusive

Läs mer

Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien DIGITAL- OCH MIKRODATORTEKNIK, U2 11-01-12 09.00 13.00 Tillåtna hjälpmedel: Instruktionslista PIC16F877A Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien Fullständiga lösningar

Läs mer

Maskinorienterad programmering

Maskinorienterad programmering Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KURSNAMN Maskinorienterad programmering PROGRAM: Dataingenjör och elektroingenjör åk 1/ lp 3 Mekatronikingenjör åk 2/

Läs mer

Tentamen i Digital Design

Tentamen i Digital Design Kungliga Tekniska Högskolan Tentamen i Digital Design Kursnummer : Kursansvarig: 2B56 :e fo ingenjör Lars Hellberg tel 79 7795 Datum: 27-5-25 Tid: Kl 4. - 9. Tentamen rättad 27-6-5 Klagotiden utgår: 27-6-29

Läs mer

Tentamen. Datorteknik Y, TSEA28

Tentamen. Datorteknik Y, TSEA28 Tentamen Datorteknik Y, TSEA28 Datum 2016-05-31 Lokal Kåra, T1, T2, U1, U15 Tid 14-18 Kurskod TSEA28 Provkod TEN1 Kursnamn Provnamn Datorteknik Y Skriftlig tentamen Institution ISY Antal frågor 6 Antal

Läs mer

Dataöverföring på Parallell- och serieform MOP 11/12 1

Dataöverföring på Parallell- och serieform MOP 11/12 1 Dataöverföring på Parallell- och serieform MOP 11/12 1 Parallell dataöverföring I digitala system finns ofta behovet att flytta ett eller flera dataord från ett ställe (sändare) till ett annat ställe (mottagare).

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2008-08-29 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Johan Eriksson Tel 070 589 7911 Tillåtna

Läs mer

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien DIGITAL- OCH MIKRODATORTEKNIK, U2 09.00 13.00 Tillåtna hjälpmedel: Instruktionslista PIC16F877A Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien Fullständiga lösningar skall inlämnas.

Läs mer

Laboration i digitalteknik Introduktion till digitalteknik

Laboration i digitalteknik Introduktion till digitalteknik Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 6 Laboration i digitalteknik Introduktion till digitalteknik TSEA Digitalteknik D TSEA5 Digitalteknik Y TDDC75

Läs mer