Tentamen i IE1204/5 Digital Design onsdagen den 5/

Relevanta dokument
Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design måndagen den 15/

Omtentamen IE Digital Design Måndag 14/

Tentamen med lösningar för IE1204/5 Digital Design Torsdag 15/

Omtentamen med lösningar i IE1204/5 Digital Design Fredag 10/

Tentamen i IE Digital Design Fredag 21/

Tentamen IE Digital Design Fredag 15/

Tentamen i IE1204/5 Digital Design Torsdag 29/

Tentamen med lösningar i IE1204/5 Digital Design Måndag 27/

Tentamen IE Digital Design Måndag 23/

Tentamen IE Digital Design Fredag 13/

Tentamen med lösningar i IE Digital Design Fredag 15/

Omtentamen med lösningar IE Digital Design Måndag 14/

Tentamen med lösningar i IE Digital Design Fredag 21/

Tentamen med lösningar i IE1204/5 Digital Design Torsdag 29/

Tentamen med lösningar IE Digital Design Måndag 23/

Tentamen IE1204 Digital Design Måndag 15/

Tentamen med lösningar IE Digital Design Fredag 13/

IE1204/IE1205 Digital Design

IE1204/5 Digital Design typtenta

IE1204/5 Digital Design typtenta

Tentamen i Digital Design

Digital elektronik CL0090

Tenta i Digitalteknik

Tenta i Digitalteknik

Försättsblad till skriftlig tentamen vid Linköpings universitet

Tenta i Digitalteknik

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna

Tenta i Digitalteknik

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl

Konstruktionsmetodik för sekvenskretsar

Tenta i Digitalteknik

Tentamen i IE1204/5 Digital Design Måndag 27/

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

VHDL 1. Programmerbara kretsar

IE1204 Digital Design

Digital elektronik CL0090

Laboration i digitalteknik Introduktion till digitalteknik

Institutionen för systemteknik, ISY, LiTH. Tentamen i. Tid: kl

IE1205 Digital Design: F9: Synkrona tillståndsautomater

Sekvensnät. William Sandqvist

Digital Design IE1204

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

Exempel på tentamensfrågor Digitalteknik

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

IE1204 Digital Design

F5 Introduktion till digitalteknik

Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp

Tentamensskrivning 11 januari 2016

TSEA22 Digitalteknik 2019!

DESIGN AV SEKVENTIELL LOGIK

Repetition TSIU05 Digitalteknik Di/EL. Michael Josefsson

Digital- och datorteknik, , Per Larsson-Edefors Sida 1

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

Digital Design IE1204

Digital Design IE1204

Tentamen i Digitalteknik TSEA22

Sekvensnät i VHDL del 2

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD

DIGITALTEKNIK. Laboration D172

IE1204 Digital Design

IE1205 Digital Design: F4 : Karnaugh-diagrammet, två- och fler-nivå minimering

Konstruktion av digitala system - VHDL

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1

Digitalteknik syntes Arne Linde 2012

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov)

Tentamen i Digitalteknik 5p

Programmerbara kretsar och VHDL. Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS v 2.1

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D

Digital Design IE1204

Högskolan i Halmstad Digital- och Mikrodatorteknik 7.5p. Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Digital- och datorteknik

Angående buffer. clk clear >=1 =9?

Tentamen i Digitalteknik, EITF65

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler,

PARALLELL OCH SEKVENTIELL DATABEHANDLING. Innehåll

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD

Tentamen i Digitalteknik, TSEA22

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL

TSIU05 Digitalteknik. LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System

LABORATIONSINSTRUKTION LABORATION

Repetition delay-element

Digital Design IE1204

TSEA22 Digitalteknik 2019!

Digital Design IE1204

Digital Design IE1204

KALKYLATOR LABORATION4. Laborationens syfte

Design av mindre digitala system. Föreläsning Digitalteknik, TSEA52 Mattias Krysander Institutionen för systemteknik

VHDL och laborationer i digitalteknik

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

ÖH kod. ( en variant av koden används i dag till butikernas streck-kod ) William Sandqvist

Tenta i Digitalteknik

Grundläggande Datorteknik Digital- och datorteknik

Lösningsförslag till tentamen i Digitalteknik, TSEA22

Transkript:

Tentamen i IE1204/5 Digital Design onsdagen den 5/6 2013 9.00-13.00 Allmän information Exaator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista IE1204) Tentamensuppgifterna behöver inte återlämnas när du lämnar in din skrivning. Hjälpmedel: Inga hjälpmedel är tillåtna! Tentamen består av tre delar med sammanlagt 12 uppgifter, och totalt 30 poäng: Del A1 (Analys) innehåller åtta korta uppgifter. Rätt besvarad uppgift ger för sex av uppgifterna en poäng och för två av uppgifterna två poäng. Felaktig besvarad ger 0 poäng. Det totala antalet poäng i del A1 är 10 poäng. För godkänt på del A1 krävs st 6p, är det färre poäng rättar vi inte vidare. Del A2 (Konstruktionsmetodik) innehåller två metodikuppgifter om totalt 10 poäng. För att bli godkänd på tentamen krävs st 11 poäng från A1+A2, är det färre poäng rättar vi inte vidare. Del B (Designproblem) innehåller två friare designuppgifter om totalt 10 poäng. Del B rättas bara om det finns st 11p från tentamens A-del. OBS! I slutet av tentamenshäftet finns ett inlämningsblad för del A1, som kan avskiljas för att lämnas in tillsammans med lösningarna för del A2 och del B. För ett godkänt betyg (E) krävs st 11 poäng på hela tentamen. Betyg ges enligt följande: 0 11 16 19 22 25 F E D C B A Resultatet beräknas meddelas före onsdagen den 26/6 2013. 1

2

Del A1: Analysuppgifter. Endast svar krävs på uppgifterna i del A1. Lämna svaren på inlämningsbladet för del A1 som du hittar på sista sidan av tentahäftet. 1. 2p/1p/0p En funktion f(x, y, z) beskrivs med uttrycket f ( x, y, z) = { SoP} = x + y z = { SoP} =? a) ange den på normalform som en summa av termer (summa-av-produkter)! f ( x, y, z) = { SoP} =? normal b) ange den som imal produkt-av-summor! f ( x, y, z) = { PoS} =? 2. 1p/0p Två 4-bitstal adderas med en 4-bits heladderare (av den typ Du använt vid lab). Det ena talet 1010 2 tas via xor-grindar och det andra talet 1010 2 tas direkt till heladderaren, se figuren. Vad blir summan (binärtal) och vad blir utgående Carry-bit (C OUT )? 3. 1p/0p Givet är ett Karnaughdiagram för en funktion av fyra variabler. Ange funktionen som imerad summa av produkter, SoP-form. ( - i diagrammet står för don t care ) f ( a, b, c, d) = { SoP} =? 3

4. 2p/1p/0p NOR är komplett logik, alla andra grindtyper kan konstrueras med bara NOR-grindar. a) Ställ upp uttrycket för Q= f( AB, ) =? b) Förenkla uttrycket och ange namnet på funktionen. 5. 1p/0p Ange den logiska funktionen som realiseras av CMOS-kretsen i figuren? Y = f( ABC,, ) =? 6. 1p/0p Ett synkront sekvensnät, en räknare, startar i tillståndet Q 0 Q 1 Q 2 000. Visa utgångsvärdena Q 0 Q 1 Q 2 för de följande fyra klockpulserna. ( QQQ 0 1 2) = 000???????? 7. 1p/0p Figuren visar en slags asynkron låskrets med en MUX. Följ signalerna A och B och rita hur signalen C blir. 4

8. 1p/0p VHDL-koden beskriver en känd krets. Vilken? Välj mellan: a. Ett 4-bitars skiftregister. b. En 4-bitares binärräknare. c. En 4-bitars graykodräknare. d. En 1 av 4 multiplexer. e. En 2 till 4 avkodare. f. En 4 till 1 demultiplexer. ENTITY krets IS port( w: in std_logic_vector(1 DOWNTO 0); E: in std_logic; y: out std_logic_vector(3 DOWNTO 0); ) END krets; ARCHITECTURE funktion OF krets IS BEGIN IF E = '0' THEN y <= "0000"; ELSE WITH w SELECT y <= "0001" WHEN "00", "0010" WHEN "01", "0100" WHEN "10", "1000" WHEN "11", "0000" WHEN others; END IF; END PROCESS; END funktion; 5

Del A2: Konstruktionsmetodik. Observera! Del A2 rättas endast om Du är godkänd på del A1 ( 6p). 9. 5p En Boolesk funktionen Y av fyra variabler x 3 x 2 x 1 x 0 är realiserad med några multiplexorer, se figuren. a) Ange funktionen på imerad SP-form (summa av produkter). b) Rita den imerade funktionen med valfria grindar. 10. 5p En digital tärning en synkron räknare har sex tillstånd kodade så att vippornas utgångar direkt kan visa tärningskastet med lysdioder. Se tabellen. Tärningen har fyra D-vippor men använder bara 6 av de 16 möjliga tillstånden, de övriga kan utnyttjas som don t care. Så länge klockpulser, clock, når tärningen räknar den, när klockpulserna avbryts visas tärningskastet. D-vipporna har asynkrona PR och CLR-ingångar (se vippans symbol i figuren). De kan användas till att ge tärningen en fusk -funktion (cheat) som omedelbart ger tillstånd S 6, en sexa. + + + a) Ställ upp den kodade tillståndstabellen. Q Q Q Q + = f( Q Q Q Q ) A B C D A B C D + + + + b) Tag fram funktionerna för nästa tillstånd för de fyra vipporna. Q =? Q =? Q =? Q =? c) Rita en figur över hur cheat-signalen ska anslutas till D-vipporna. A B C D 6

Del B: Designproblem. Observera! Del B rättas endast om Du har mer än 11p på del A1+A2. 11. 5p CMC7-tecknen användes förr för att mata in siffror med magnetiska kortläsare. Om man saknade kortläsare kunde tecknen även läsas manuellt. Tecknens digitala kod tolkas så att för 0 är mellanrummet mellan två streck litet, medan det för 1 är ett stort mellanrum. Se fonten för siffran 1 som exempel. a) Ställ upp sanningstabellen för en CMC7/BCD kodomvandlare enligt figuren (BCD är siffrorna 0 9 binärkodade). Inga andra inkombinationer förekommer än siffrorna 0 9 (övriga inkombinationer är don t care ). b) Minimera utsignalen Y 1 (SoP) och rita ett AND-OR grindnät för denna utsignal. c) Minimera utsignalen Y 0 (PoS) och rita ett OR-AND grindnät för denna utsignal. 12. 5p Detektor till en partikelaccelerator. Utförd i state of the art teknik. Måste vara ett asynkront sekvensnät för att bli så snabbt som möjligt! (Kanske till CERN?). Afirst A kom först Bfirst B kom först Reset ny mätning Svaret ska innehålla ett tillståndsdiagram, en flödestabell, och en lämplig tillståndstilldelning som ger ett kapplöpningsfritt nät. Du ska ta fram de hasardfria uttrycken för nästa tillstånd, och utgångsvärdena, men Du behöver inte rita grindnäten. Lycka till! 7

8

Inlämningsblad för del A Blad 1 ( tas loss och lämnas in tillsammans med lösningarna för del A2 och del B ) Efternamn: Förnamn: Personnummer: Skriv in dina svar för uppgifterna från del A1 ( 1 till 8 ) Fråga Svar 1 2/1/0 a) f ( x, y, z) = { SoP} normal =? f ( x, y, z) = { PoS} =? b) 2 1/0 Summa (binärtal): C OUT : 3 1/0 f ( a, b, c, d) = { SoP} =? 4 2/1/0 a) Q= f( AB, ) =? b) Förenklat, namn? 5 1/0 Y = f( ABC,, ) =? 6 1/0 ( QQQ 0 1 2) = 000???????? 7 1/0 8 1/0 Namn på krets (a, b,, f): Nedanstående del fylls i av exaatorn! Del A1 Del A2 Del B Totalt Poäng 9 10 11 12 Summa Betyg 9