Programmering av inbyggda system. Seriekommunikation. Viktor Kämpe

Storlek: px
Starta visningen från sidan:

Download "Programmering av inbyggda system. Seriekommunikation. Viktor Kämpe"

Transkript

1 Seriekommunikation Viktor Kämpe

2 Sändare Data buss Mottagare Data buss CS OE Data egister OE Data egister E Clock Shift egister xd Serial data out Serial data in xd Clock Shift egister Sändare och mottagares klockor går i samma takt 2

3 S232 överföring av tecknet z tecknet z representeras av bitmönstret (ASCII-tecken) volt 0 volt - 10 volt S A B I idle idle P A I Y B I S O P B I tid z minst signifikanta bit först 3

4 Gränssnitt Serial Communication Interface (SCI) Offset Mnemonic Namn $ Baud ate SB12 SB11 SB10 SB9 SB8 SCIBDH egister High $01 Baud ate SB7 SB6 SB5 SB4 SB3 SB2 SB1 SB0 SCIBDL egister Low $02 LOOPS SCISAI SC M AKE IL PE P SCIC1 egister 1 $03 IE CIE IE ILIE E E U SBK SCIC2 egister 2 $04 DE C DF IDLE O NF FE PF SCIS1 egister 1 $ AF BK13 XDI SCIS2 egister 2 $ Data egister 8 SCIDH High $ Data egister SCIDL Low ecieve ransmit Init Seriekommunikation/VK 4

5 Förkortningar Serial Communication Interface (SCI) Offset Mnemonic Namn $ Baud ate SB12 SB11 SB10 SB9 SB8 SCIBDH egister High $01 Baud ate SB7 SB6 SB5 SB4 SB3 SB2 SB1 SB0 SCIBDL egister Low $02 LOOPS SCISAI SC M AKE IL PE P SCIC1 egister 1 $03 IE CIE IE ILIE E E U SBK SCIC2 egister 2 $04 DE C DF IDLE O NF FE PF SCIS1 egister 1 $ AF BK13 XDI SCIS2 egister 2 $ Data egister 8 SCIDH High $ Data egister SCIDL Low SB E / E DE DF Serial Baud ate ransmit Enable / ecieve Enable ransmit Data egister Empty ecieve Data egister Full Seriekommunikation/VK 5

6 Initiering Serial Communication Interface (SCI) Offset Mnemonic Namn $ Baud ate SB12 SB11 SB10 SB9 SB8 SCIBDH egister High $01 Baud ate SB7 SB6 SB5 SB4 SB3 SB2 SB1 SB0 SCIBDL egister Low $02 LOOPS SCISAI SC M AKE IL PE P SCIC1 egister 1 $03 IE CIE IE ILIE E E U SBK SCIC2 egister 2 $04 DE C DF IDLE O NF FE PF SCIS1 egister 1 $ AF BK13 XDI SCIS2 egister 2 $ Data egister 8 SCIDH High $ Data egister SCIDL Low ecieve ransmit Init 1 Kolla om redo 1 Kolla om redo 1 Sätt hastighet 2 Läs 2 Skriv 2 Aktivera och Seriekommunikation/VK 6

7 Skicka Serial Communication Interface (SCI) Offset Mnemonic Namn $ Baud ate SB12 SB11 SB10 SB9 SB8 SCIBDH egister High $01 Baud ate SB7 SB6 SB5 SB4 SB3 SB2 SB1 SB0 SCIBDL egister Low $02 LOOPS SCISAI SC M AKE IL PE P SCIC1 egister 1 $03 IE CIE IE ILIE E E U SBK SCIC2 egister 2 $04 DE C DF IDLE O NF FE PF SCIS1 egister 1 $ AF BK13 XDI SCIS2 egister 2 $ Data egister 8 SCIDH High $ Data egister SCIDL Low ecieve ransmit Init 1 Kolla om redo 1 Kolla om redo 1 Sätt hastighet 2 Läs 2 Skriv 2 Aktivera och Seriekommunikation/VK 7

8 a emot Serial Communication Interface (SCI) Offset Mnemonic Namn $ Baud ate SB12 SB11 SB10 SB9 SB8 SCIBDH egister High $01 Baud ate SB7 SB6 SB5 SB4 SB3 SB2 SB1 SB0 SCIBDL egister Low $02 LOOPS SCISAI SC M AKE IL PE P SCIC1 egister 1 $03 IE CIE IE ILIE E E U SBK SCIC2 egister 2 $04 DE C DF IDLE O NF FE PF SCIS1 egister 1 $ AF BK13 XDI SCIS2 egister 2 $ Data egister 8 SCIDH High $ Data egister SCIDL Low ecieve ransmit Init 1 Kolla om redo 1 Kolla om redo 1 Sätt hastighet 2 Läs 2 Skriv 2 Aktivera och Seriekommunikation/VK 8

9 [Exempel i XCC12] Seriekommunikation/VK 9

10 Förbättringar Byt busy-wait mot avbrottsdrivning Seriekommunikation/VK 10

Programmering av inbyggda system 2013/2014

Programmering av inbyggda system 2013/2014 Programmering av inbyggda system 2013/2014 CPU12 Reference Guide Stencil: Assemblerprogrammering.pdf Ur innehållet: Räknarkretsar ( TIMERS ) Pulsbreddsmodulering ( PM ) Analog-/Digital- omvandling ( AD

Läs mer

Maskinorienterad Programmering 2011/2012. CPU12 Reference Guide Stencil: Assemblerprogrammering.pdf

Maskinorienterad Programmering 2011/2012. CPU12 Reference Guide Stencil: Assemblerprogrammering.pdf Maskinorienterad Programmering 211/212 CPU12 eference Guide Stencil: Assemblerprogrammering.pdf Ur innehållet: äknarkretsar ( TMES ) Pulsbreddsmodulering ( PM ) Analog-/Digital- omvandling ( AD ) Seriekommunikation

Läs mer

Returvärden via register. Parameteröverföring via register

Returvärden via register. Parameteröverföring via register Maskinorienterad Programmering 21/211 CPU12 eference Guide Stencil: Assemblerprogrammering.pdf Ur innehållet: Parameteröverföring Positionsoberoende kod äknarkretsar ( IMES ) Pulsbreddsmodulering le (

Läs mer

Seriekommunikation. Ur innehållet: Nätverkstopologier Nätverksprotokoll Asynkron/synkron seriell överföring Programmering av USART-krets

Seriekommunikation. Ur innehållet: Nätverkstopologier Nätverksprotokoll Asynkron/synkron seriell överföring Programmering av USART-krets Seriekommunikation Ur innehållet: Nätverkstopologier Nätverksprotokoll Asynkron/synkron seriell överföring Programmering av USART-krets Läsanvisningar: Arbetsbok kapitel 7 Seriekommunikation 1 Parallell

Läs mer

Adressrum, programmerarens bild

Adressrum, programmerarens bild EDA 480 2009/2010 MC68HC12, Uppbyggnad.pdf Ur innehållet: Datorns byggblock Busskommunikation Synkron buss Asynkron buss Multiplex-buss avkodning för minne och I/O Minnesavbildad I/O Direktadresserad I/O

Läs mer

LABORATION DATORKONSTRUKTION TSEA83 UART. Namn och personnummer. Version: 1.0 2013 (OS)

LABORATION DATORKONSTRUKTION TSEA83 UART. Namn och personnummer. Version: 1.0 2013 (OS) LABORATION DATORKONSTRUKTION TSEA83 UART Version: 1.0 2013 (OS) Namn och personnummer Godkänd 1 blank sida 2 Innehåll 1 Inledning 5 1.1 Syfte................................. 5 1.2 Förberedelser............................

Läs mer

Projektlaboration 4, synkronisering av klockan

Projektlaboration 4, synkronisering av klockan Namn: Laborationen godkänd: Digitala system 15 p L T H I n g e n j ö r s h ö g s k o l a n v i d C a m p u s H e l s i n g b o r g Datorprojekt, del 4 Projektlaboration 4, synkronisering av klockan Asynkron

Läs mer

Dataöverföring på Parallell- och serieform MOP 11/12 1

Dataöverföring på Parallell- och serieform MOP 11/12 1 Dataöverföring på Parallell- och serieform MOP 11/12 1 Parallell dataöverföring I digitala system finns ofta behovet att flytta ett eller flera dataord från ett ställe (sändare) till ett annat ställe (mottagare).

Läs mer

Seriekomunikation. CAN ett kommunikationsprotokoll för realtidssystem. Seriekomunikation -forts. Seriekomunikation -forts

Seriekomunikation. CAN ett kommunikationsprotokoll för realtidssystem. Seriekomunikation -forts. Seriekomunikation -forts s4 CAN ett kommunikationsprotokoll för realtidssystem Sändare Seriekomunikation Mottagare Seriekommunikation Synkronisering - Datanät CAN Dataregister Göteborg 8 bitar - Parallell överföring Dataregister

Läs mer

Sekvensnät vippor, register och bussar

Sekvensnät vippor, register och bussar ekvensnät vippor, register och bussar agens föreläsning: Lärobok kap.5 Arbetsbok kap 8,9,10 Ur innehållet: Hur fungerar en -latch? Hur konstrueras JK-, - och T-vippor? er och excitationstabeller egister

Läs mer

Realtidsprogrammering. En introduktion Implementering (med exempel från PIC)

Realtidsprogrammering. En introduktion Implementering (med exempel från PIC) Realtidsprogrammering En introduktion Implementering (med exempel från PIC) Utan timing Periodtid varierar beroende på funktionernas exekveringstid. Specificera endast maxtid ( Worst case) och eventuellt

Läs mer

Föreläsningsanteckningar 3. Mikroprogrammering II

Föreläsningsanteckningar 3. Mikroprogrammering II Föreläsningsanteckningar 3. Mikroprogrammering II Olle Seger 2012 Anders Nilsson 2016 1 Inledning Datorn, som vi byggde i förra föreläsningen, har en stor brist. Den saknar I/O. I denna föreläsning kompletterar

Läs mer

Datalänklagret. Datalänklagret ska:

Datalänklagret. Datalänklagret ska: Datalänklagret Datalänklagret ska: Erbjuda tjänster till det överliggande lagret (nätverkslagret) Paketera data (framing) Hantera fel i överföringen Hantera flödeskontroll Tjänster som datalänklagret erbjuder

Läs mer

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll:

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll: F: Minneselement Innehåll: - Latchar - Flip-Flops - egister - Läs- och skrivminne (andom-access Memory AM) - Läsminne (ead Only Memory OM) Ett minneselements egenskaper Generellt sett så kan följande operationer

Läs mer

Miniräknare. Laborationsrapport Laborationsrapport i mikrodatorkonstruktion. En rapport skriven av teknologstuderande: Martin Bergström Gert Johnsen

Miniräknare. Laborationsrapport Laborationsrapport i mikrodatorkonstruktion. En rapport skriven av teknologstuderande: Martin Bergström Gert Johnsen Laborationsrapport Laborationsrapport i mikrodatorkonstruktion Kurskod: ISI00 Klass: Enk Datum: 00-- Miniräknare En rapport skriven av teknologstuderande: Martin Bergström Gert Johnsen Institutionen i

Läs mer

Datakommunikation med IR-ljus.

Datakommunikation med IR-ljus. Datakommunikation med -ljus. I den här uppgiften skall du kommunicera med hjälp av infrarött () ljus. Du skall kunna sända tecken från tangentbordet samt ta emot tecken och visa dem på skärmen. Genom att

Läs mer

Maskinorienterad Programmering 2010/2011. Maskinorienterad Programmering 2010/2011. Skrivarporten, p Arbetsbok MC12, avsnitt 2

Maskinorienterad Programmering 2010/2011. Maskinorienterad Programmering 2010/2011. Skrivarporten, p Arbetsbok MC12, avsnitt 2 Maskinorintrad Programmring 2010/2011 Skrivarportn, p Arbtsbok MC12, avsnitt 2 Ur innhåt: Vi ansutr n skrivar Assmbrprogrammring - skrivarportn 1 Skrivarport Arbtsbok, kap. 2 Konstruktion av n Printr-Port

Läs mer

Datorteknik. Tomas Nordström. Föreläsning 6. För utveckling av verksamhet, produkter och livskvalitet.

Datorteknik. Tomas Nordström. Föreläsning 6. För utveckling av verksamhet, produkter och livskvalitet. Datorteknik Tomas Nordström Föreläsning 6 För utveckling av verksamhet, produkter och livskvalitet. Föreläsning 6 Vad händer vid uppstart SoC och Kringkretsar, PIO Programmering i Assembler Lab2 genomgång

Läs mer

Övning 7. Timer, serieport

Övning 7. Timer, serieport Övning 7 Timer, serieport 7.6 timer_1 Memory-Mapped addresses 0x920 status 0x924 control 0x928 periodl 0x92C periodh 0x930 snapl 0x934 snaph 15 0 Run TO (Time-Out) ITO cont start stop timer_1 start D Q

Läs mer

EDA480/EDA485 - Maskinorienterad programmering, tentamen 2006-xx-xx 1(7)

EDA480/EDA485 - Maskinorienterad programmering, tentamen 2006-xx-xx 1(7) EDA480/EDA485 - Maskinorienterad programmering, tentamen 2006-xx-xx 1(7) 1. Motivation ROM. 8kbyte 2 3 2 10 byte 13 Adressbitar [A12,A0] direkt till ROM-kapsel. RWM. 32kbyte 2 5 2 10 byte 15 Adressbitar

Läs mer

7HQWDPHQLNRQVWUXNWLRQVPHWRGHU 'HOWHQWDPHQ / VQLQJDURFKNRPPHQWDUHU

7HQWDPHQLNRQVWUXNWLRQVPHWRGHU 'HOWHQWDPHQ / VQLQJDURFKNRPPHQWDUHU Datum: 98 11 18 Tid: 13:00-14:00 Lokal: E348 Hjälpmedel: Miniräknare, linjal Bilagor: Datablad över PIC Timer 0 7HQWDPHQLNRQVWUXNWLRQVPHWRGHU 'HOWHQWDPHQ / VQLQJDURFKNRPPHQWDUHU Examinator: Bengt Magnhagen

Läs mer

Minnen delas in i två huvudgrupper, permanenta och icke permanenta. Non-volatile and volatile.

Minnen delas in i två huvudgrupper, permanenta och icke permanenta. Non-volatile and volatile. CT3760 Mikrodatorteknik Föreläsning 2 Tisdag 2005-08-30 Minnestyper. Atmega 16 innehåller följande minnestyper: SRAM för dataminne FLASH för programminne EEPROM för parametrar och konstanter. Minnen delas

Läs mer

Allmänt om CAN. Allmänt. Mer information om CAN finns i följande dokument:

Allmänt om CAN. Allmänt. Mer information om CAN finns i följande dokument: Allmänt Styrenheter behöver ofta utbyta information med varandra. Traditionellt ansluts styrenheter som ska kunna kommunicera direkt till varandra. Om en styrenhet behöver information om till exempel hastighet

Läs mer

I DAG fo 4. Printerport v3 med READY o DAV. Avbrott. Hur bra blev det egentligen????

I DAG fo 4. Printerport v3 med READY o DAV. Avbrott. Hur bra blev det egentligen???? Rep Konstruktion av en Printer-Port ynkroniseringsproblem Villkorlig / ovillkorlig överföring Busy Wait och Polling Handskakningssignaler AVBROTT!!! svektor I-flagga svippa sdriven Printerport I DAG fo

Läs mer

Tentamen PC-teknik 5 p Lösningar och kommentarer

Tentamen PC-teknik 5 p Lösningar och kommentarer Tentamen PC-teknik 5 p Lösningar och kommentarer Program: Di2, Em3 Datum: 06-01-12 Tid: 13:30-18:30 Lokal E171 Hjälpmedel: Linjal, miniräknare, Instruktionsrepertoar för 8086 (utdelas), Lathund, Pacific

Läs mer

EDI022. Digitala Projekt. Rapport. Björn Åkesson 5/20/2011

EDI022. Digitala Projekt. Rapport. Björn Åkesson 5/20/2011 EDI022 Digitala Projekt Rapport Björn Åkesson 5/20/2011 A synthesizer is built consisting of a bit-counter and phased-locked-loop to create various tones. The construction can be controlled via an external

Läs mer

Lösningsförslag tenta

Lösningsförslag tenta Lösningsförslag tenta 2013-12-16 (Version 5 med reservation för eventuella fel. Uppdaterad 140417.) 1. X = 1010 0101 2 ; Y = 0101 1011 2 (8 bitars ordlängd) a) [0, 2 n 1] = [0, 2 8 1] = [0, 255] b) [ 2

Läs mer

DATALINK-NÄTVERK. Hårdvarubyggklossar

DATALINK-NÄTVERK. Hårdvarubyggklossar 2.1 DATALINK-NÄTVERK Fysisk koppling av värdar Hårdvarubyggklossar Ett nätverk uppbyggs av noder och länkar Noder: CPU Cache nätverks adaptor Minne I/O buss Nätverks adaptorn överför data mellan nätets

Läs mer

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse GRUNDER I VHDL Innehåll Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse KOMPONENTMODELL Modell för att beskriva komponenter Externt interface Intern funktion

Läs mer

Assemblerprogrammering del 2

Assemblerprogrammering del 2 Assemblerprogrammering del 2 FLISP och omvärlden Dagens föreläsning behandlar: Kompendiet kapitel 9 Arbetsboken kapitel 16 Ur innehållet: In- och ut-enheter Tilldelningar och uttrycksevaluering Programflödeskontroll

Läs mer

Momentbegränsare. Aktivering med hjälp av analoga signaler. Aktivering med hjälp av Extern CAN

Momentbegränsare. Aktivering med hjälp av analoga signaler. Aktivering med hjälp av Extern CAN Bakgrund Bakgrund Funktionen för momentbegränsare styrs av BCI-styrenheten (Bodywork Communication Interface). Funktionen kan antingen aktiveras med hjälp av analoga signaler eller Extern CAN (Controller

Läs mer

IE1205 Digital Design: F9: Synkrona tillståndsautomater

IE1205 Digital Design: F9: Synkrona tillståndsautomater IE25 Digital Design: F9: Synkrona tillståndsautomater Moore och Mealy automater F8 introducerade vippor och vi konstruerade räknare, skift-register etc. F9-F skall vi titta på hur generella tillståndsmaskiner

Läs mer

Tentamen. Datorteknik Y, TSEA28

Tentamen. Datorteknik Y, TSEA28 Tentamen Datorteknik Y, TSEA28 Datum 2016-08-16 Lokal TER2, TER4 Tid 14-18 Kurskod TSEA28 Provkod TEN1 Kursnamn Provnamn Datorteknik Y Skriftlig tentamen Institution ISY Antal frågor 6 Antal sidor (inklusive

Läs mer

Laboration 5. Temperaturmätning med analog givare. Tekniska gränssnitt 7,5 p. Förutsättningar: Uppgift: Temperatur:+22 C

Laboration 5. Temperaturmätning med analog givare. Tekniska gränssnitt 7,5 p. Förutsättningar: Uppgift: Temperatur:+22 C Namn: Laborationen godkänd: Tekniska gränssnitt 7,5 p Vt 2014 Laboration 5 LTH Ingenjörshögskolan vid Campus Helsingborg Temperaturmätning med analog givare. Syftet med laborationen är att studera analog

Läs mer

Dataminne I/O Stack 0x005D 0x3D SP low byte 0x005E 0x3E SP high byte

Dataminne I/O Stack 0x005D 0x3D SP low byte 0x005E 0x3E SP high byte CT3760 Mikrodatorteknik Föreläsning 4 Tisdag 2005-09-06 Stacken I datasammmanhang är en stack ett minnesområde. Det är processorn som använder stacken. För att skapa en stack anger man en adress i stackpekarregistret.

Läs mer

SPA-ZC22 Bussanslutningsmodul

SPA-ZC22 Bussanslutningsmodul SPA-ZC Bussanslutningsmodul Bruksanvisning och teknisk beskrivning ON ON 3 4 6 7 8 3 4 RS Ser. No POWER UAUX 0//0 V DC 0/0/30/40 V AC 4/48/60 V DC AUX INT Tx 3 4 SPA-ZC B0M 4BM 3BM B3M B4M SC RS 48 SPA

Läs mer

Digital Termometer J10 B4 A4 GND GND GND B1 GND GND GND GND B3 A3 +5V +5V A3 +5V A2 +5V +5V A2. +5v B16 A16 UREG UREG B1 6 AC AC A1 6 A6 6

Digital Termometer J10 B4 A4 GND GND GND B1 GND GND GND GND B3 A3 +5V +5V A3 +5V A2 +5V +5V A2. +5v B16 A16 UREG UREG B1 6 AC AC A1 6 A6 6 Digital Termometer I den här uppgiften skall vi mäta temperaturen på ditt kaffe. Vi använder en termistor som temperaturkänslig givare och timerkretsen 555 som A/D omvandlare. Temperaturen presenterar

Läs mer

INSTRUKTION. Instruktion-SMART Rev Vetek AB Box 79 Tel Fax Väddö www. vetek.

INSTRUKTION. Instruktion-SMART Rev Vetek AB Box 79 Tel Fax Väddö   www. vetek. INSTRUKTION Instruktion-SMART Rev 01.2007 Vetek AB Box 79 Tel 0176 208 920 Fax 0176 208929 760 40 Väddö e-mail: info@vetek.se www. vetek.se INNEHÅLL Gratulerar...3 ALLMÄN BESKRIVNING...4 INDIKERINGSLAMPOR...5

Läs mer

Instruktionsbok. ExciControl CAN-brygga

Instruktionsbok. ExciControl CAN-brygga Instruktionsbok ExciControl CAN-brygga 0001- ExciControl CAN-brygga 1 Inledning Sida 1 2 Säkerhetsföreskrifter 2 2.1 Allmänna säkerhetsföreskrifter 2 3 Installation 3 3.1 Installationsanvisning 3 3.2

Läs mer

M7003 FMS/Weisser mätstyrningsserver M9003 ersatt med Simens S Plc- RS232

M7003 FMS/Weisser mätstyrningsserver M9003 ersatt med Simens S Plc- RS232 Com.mod. CPU 1212 S 1222 DO Matematikföretaget jz www.m7005.metem.se 160919/180926/190213/190320/SJn M7003 FMS/Weisser mätstyrningsserver M9003 ersatt med Simens S7-1200 Plc- RS232 M7005 Com MätStyrsevere

Läs mer

%HQJW0DJQKDJHQ,QJHQM UVK JVNRODQL- QN SLQJ &RS\ULJKW%HQJW0DJQKDJHQ/L7+

%HQJW0DJQKDJHQ,QJHQM UVK JVNRODQL- QN SLQJ &RS\ULJKW%HQJW0DJQKDJHQ/L7+ %HQJW0DJQKDJHQ,QJHQM UVK JVNRODQL- QN SLQJ TEST! Vad är testets mål? Vad är testets problem? Vad är testbar elektronik? Vad är design för testbarhet? Scan och Boundary Scan teknik Volt Specifikt mätvärde

Läs mer

3. Mikroprogrammering II

3. Mikroprogrammering II 3. Mikroprogrammering II lite repetition in/ut-matning avbrott på OR-datorn hoppinstruktion labben Olle Roos dator LDA 000 12 ADD 100 7 STA 000 13 12 1 13 8 13 6 8 0 18,1,11 2,3,5,11 7,8,11 17,10 18,1,11

Läs mer

Laboration i digitalteknik Datablad

Laboration i digitalteknik Datablad Linköpings universitet Institutionen för systemteknik Datablad Datorteknik 216 Laboration i digitalteknik Datablad TSEA22 Digitalteknik D TSEA51 Digitalteknik, i, I, Ii TDDC75 Diskreta strukturer IT Linköpings

Läs mer

PNSPO! Adressering i Omrons PLC. 14 mars 2012 OMRON Corporation

PNSPO! Adressering i Omrons PLC. 14 mars 2012 OMRON Corporation PNSPO! 14 mars 2012 OMRON Corporation 2/19 Läs detta innan du bläddrar vidare PNSPO! Denna bok är avsedd som ett tillägg till de ursprungliga manualerna för OMRONs produkter. Använd den som en hjälp att

Läs mer

Övningsuppgifter i Mikrodatorteknik för U2

Övningsuppgifter i Mikrodatorteknik för U2 i Mikrodatorteknik för U2 Skriv instruktioner som utför följande operationer (angivna tal antas givna i hexadecimal form om inget annat anges): 1. (0x20) + 25 (0x30) 2. (0x22) - (0x31) (0x25) 3. (w) +

Läs mer

EDA451 - Digital och Datorteknik 2009/2010. EDA Digital och Datorteknik

EDA451 - Digital och Datorteknik 2009/2010. EDA Digital och Datorteknik EDA45 - Digital och Datorteknik 2009/200 EDA 45 - Digital och Datorteknik Dagens föreläsning: entralenheten och dess byggblock, läroboken kapitel 7 arbetsbokens kapitel 0-4 Ur innehållet: Laddbara register

Läs mer

IndraDrive Open-loop. Styrning av asynkronmotor över Profibus. Version 1

IndraDrive Open-loop. Styrning av asynkronmotor över Profibus. Version 1 IndraDrive Open-loop Styrning av asynkronmotor över Profibus Version 1 1. Inställningar för master kommunikation Öppna inställningarna för master kommunikationen genom att expandera mappen Master communication

Läs mer

Arduinokurs. Kurstillfälle 4

Arduinokurs. Kurstillfälle 4 Kurstillfälle 4 CW-generering Det här kan ses som överkurs men kan ändå vara roligt för att kunna generera CW på ett enkelt sätt. Det blir en hel del nytt men vi tar det steg för steg Som alla vet gäller

Läs mer

DESIGN AV SEKVENTIELL LOGIK

DESIGN AV SEKVENTIELL LOGIK DESIGN AV SEKVENTIELL LOGIK Innehåll Timing i synkrona nätverk Synkrona processer i VHDL VHDL-kod som introducerar vippor (flip-flops) och latchar Initiering av register Mealy- och Moore-maskiner i VHDL

Läs mer

Felsökning av kommunikation mellan DLS och GPS mottagare.

Felsökning av kommunikation mellan DLS och GPS mottagare. Felsökning av kommunikation mellan DLS och GPS mottagare. 1. Anslut GPS mottagaren till datorn med en kommunikationskabel. Starta sedan både GPS och datorn. En förutsättning för att kunna presentera positionen

Läs mer

Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien DIGITAL- OCH MIKRODATORTEKNIK, U2 11-01-12 09.00 13.00 Tillåtna hjälpmedel: Instruktionslista PIC16F877A Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien Fullständiga lösningar

Läs mer

Förhöjt tomgångsvarvtal

Förhöjt tomgångsvarvtal Bakgrund Bakgrund Funktionen för förhöjt motorvarvtal styrs av BCI-styrenheten (Bodywork Communication Interface). Funktionen kan antingen aktiveras med hjälp av analoga signaler eller Extern CAN (Controller

Läs mer

Tentamen. Datorteknik Y, TSEA28

Tentamen. Datorteknik Y, TSEA28 Tentamen Datorteknik Y, TSEA28 Datum 2017-10-26 Lokal TER1, TER3 Tid 8-12 Kurskod TSEA28 Provkod TEN1 Kursnamn Provnamn Datorteknik Y Skriftlig tentamen Institution ISY Antal frågor 6 Antal sidor (inklusive

Läs mer

Adressavkodning - busskommunikation

Adressavkodning - busskommunikation Adressavkodning - busskommunikation Kompendie kapitel 10 Bussystem adressbuss databuss styrbuss Ur innehållet: Bussystem, intern kommunikation i datorsystemet Adressavkodning, hur primär och I/O-enheter

Läs mer

Laboration i digitalteknik Datablad

Laboration i digitalteknik Datablad Linköpings universitet Institutionen för systemteknik Datablad Datorteknik 2018 Laboration i digitalteknik Datablad TSEA22 Digitalteknik D TSEA51 Digitalteknik TSEA52 Digitalteknik I TDDC75 Diskreta strukturer

Läs mer

Digital och Datorteknik EDA /2011. EDA 451 Digital och datorteknik 2010/2011. Uppbyggnad_och_funktion.pdf

Digital och Datorteknik EDA /2011. EDA 451 Digital och datorteknik 2010/2011. Uppbyggnad_och_funktion.pdf EDA 451 Digital och datorteknik 2010/2011 Uppbyggnad_och_funktion.pdf Ur innehållet: Bussystem, intern kommunikation i datorsystemet Adressavkodning, hur primärminne och I/O-enheter kan anslutas Olika

Läs mer

Bengt Magnhagen CTH/E-65. Ingenjörshögskolan i Jönköping AB

Bengt Magnhagen CTH/E-65. Ingenjörshögskolan i Jönköping AB Bengt Magnhagen CTH/E-65 Ingenjörshögskolan i Jönköping AB Innehåll Forskningsprojekt Testets grundbegrepp grundbegrepp Testgenerering Design för testbarhet (DFT) 1. Ad-Hoc 2. Strukturerat 3. Boundary

Läs mer

Microprocessor / Microcontroller

Microprocessor / Microcontroller Microprocessor / Microcontroller Varför? Industrial Electrical Engineering and Automation Billiga Innehåller bara det nödvändigaste Kräver få kringkomponenter Enkla att programmera PIC16F887 PIC16F887

Läs mer

DAT 015 Maskinorienterad programmering 2010/2011. Uppbyggnad_och_funktion.pdf

DAT 015 Maskinorienterad programmering 2010/2011. Uppbyggnad_och_funktion.pdf DAT 015 Maskinorienterad programmering 2010/2011 Uppbyggnad_och_funktion.pdf Ur innehållet: Bussystem, intern kommunikation i datorsystemet Adressavkodning, hur primärminne och I/O-enheter kan anslutas

Läs mer

Extrauppgifter för CPU12

Extrauppgifter för CPU12 1 Extrauppgifter för CPU12 X1a) Skriv en instruktionssekvens som nollställer bit 3-0 i alla minnesord i adressintervallet 2035H, 2049H Använd X-registret för adressering X1b) Skriv en subrutin som maskerar

Läs mer

PNSPO! Tips! Xpectia kommunikation till OMRON PLC via Seriellt. 14 mars 2012 OMRON Corporation

PNSPO! Tips! Xpectia kommunikation till OMRON PLC via Seriellt. 14 mars 2012 OMRON Corporation PNSPO! Tips! Xpectia kommunikation till OMRON PLC via Seriellt 14 mars 2012 OMRON Corporation 2/24 Läs detta innan du bläddrar vidare PNSPO! Denna bok är avsedd som ett tillägg till de ursprungliga manualerna

Läs mer

2-tråds transmittrar

2-tråds transmittrar 008.0 SVENSK B MONTGE OCH BRUKSNVISNING -tråds transmittrar 4-0 m 4-0 m, programmerbar HRT PROFIBUS P och FOUNDTION Fieldbus. Funktionsbeskrivning:. Kalibrering/Programmering: 3. Elektriska anslutningar:

Läs mer

Angående buffer. clk clear >=1 =9?

Angående buffer. clk clear >=1 =9? 10.VHDL3 Repetition buffer, record, loop kombinaoriska processer Varning latchar, hasard CPU-embryo VHDL-kod för mikromaskin med hämtfas Minnen i FGPA Distributed RAM (LUT) Block-RAM 1 Angående buffer

Läs mer

Maskinorienterad programmering

Maskinorienterad programmering Externa avbrott Anslutning av extern avbrottsvippa, programmering med konfigurering och hantering av externa avbrott. Introduktion till time-sharing, enkel task-switch. Ur innehållet: NVIC och EXTI (SYSCFG)

Läs mer

Digitala Projekt(EITF40) - Larm

Digitala Projekt(EITF40) - Larm Digitala Projekt(EITF40) - Larm Handledare: Bertil Lindvall Erik Oredsson, I-09 Sara Sellin, I-09 2012-05-08 1. SAMMANFATTNING I denna rapport presenteras vårt projekt att bygga ett huslarm från grunden

Läs mer

FX2N-232-IF, kommunikationsmodul KI00055F

FX2N-232-IF, kommunikationsmodul KI00055F FX1S FX1N FX2N(C) FX3U(C) 1 Funktion och användningsområde Kommunikationsmodulen FX2N-232IF används för att kommunicera med externa enheter som t ex PC, streckkodsläsare, våginstrument, GSM-modem, skrivare

Läs mer

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik Mattias Krysander Institutionen för systemteknik

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik Mattias Krysander Institutionen för systemteknik Konstruktionsmetodik för sekvenskretsar Föreläsning 7 Digitalteknik Mattias Krysander Institutionen för systemteknik 2 Dagens föreläsning Hantering av insignaler Initiering av starttillstånd Inför lab

Läs mer

Automatisk neutral. Allmänt PGRT

Automatisk neutral. Allmänt PGRT Allmänt Allmänt Den här funktionen finns för fordon med följande funktioner: Automatväxellåda Helt automatiserad Opticruise (fordon utan kopplingspedal) För att öka förarkomforten lägger funktionen automatiskt

Läs mer

Snabbmanual WM Effektanalysator

Snabbmanual WM Effektanalysator CARLO GAVAZZI AB, Nattvindsgatan 1, SE-652 21 Karlstad Telefon: 054-85 11 25, Telefax: 054-85 11 77 Internet: http://www.carlogavazzi.se E-Mail: info@carlogavazzi.se Snabbmanual WM3-96 - Effektanalysator

Läs mer

Laboration 2 - Modulering I denna laboration skall vi

Laboration 2 - Modulering I denna laboration skall vi Björn Ekenstam 19/9 2003 Telekommunikation TDV hösten 2003 Laboration 2 - Modulering I denna laboration skall vi Tillämpa MATLAB för att studera några olika Digitalt modulerade signaler Visa dessa signaler

Läs mer

Vad är en UART? Universal Asynchronous Receiver Transmitter parallella seriella parallell åttabitars signal mest signifikant bit

Vad är en UART? Universal Asynchronous Receiver Transmitter parallella seriella parallell åttabitars signal mest signifikant bit Vad är en UART? Beteckningen UART är en förkortning för det engelska uttrycket Universal Asynchronous Receiver Transmitter, vilket översatt till svenska blir ungefär Universell Asynkron Mottagare/Sändare.

Läs mer

Tillförlitlig dataöverföring. Jens A Andersson

Tillförlitlig dataöverföring. Jens A Andersson Kapitel 4: Tillförlitlig dataöverföring Kapitel 5:Lokala nät Jens A Andersson (Maria Kihl) Repetition Protokoll: Överens om vilket språk vi pratat Paket: Dela upp datamängden i småbitar Tillförlitlig dataöverföring

Läs mer

F7: I/O hantering. Asynkron och synkron busscykel 68000 Bussfördelning. Periferikretsar

F7: I/O hantering. Asynkron och synkron busscykel 68000 Bussfördelning. Periferikretsar 1 F7: I/O hantering Periferikretsar ADC, DAC, UART, etc. Databussar Seriella bussar I 2 C USB CAN Systembussar PCI VME Asynkron och synkron busscykel 68000 Bussfördelning 1 Periferikretsar ADC/DAC Räknare

Läs mer

Exempel 3 på Tentamen

Exempel 3 på Tentamen Institutionen för data- och informationsteknik CHALMERS TEKNISKA HÖGSKOLA Exempel 3 på Tentamen Grundläggande datorteknik Examinator Kontaktperson under tentamen Tillåtna hjälpmedel Häfte Instruktionslista

Läs mer

Läsminne Read Only Memory ROM

Läsminne Read Only Memory ROM Läsminne Read Only Memory ROM Ett läsminne har addressingångar och datautgångar Med m addresslinjer kan man accessa 2 m olika minnesadresser På varje address finns det ett dataord på n bitar Oftast har

Läs mer

EDA215 Digital- och datorteknik för Z

EDA215 Digital- och datorteknik för Z EDA25 Digital- och datorteknik för Z Tentamen Måndag 7 december 2007, kl. 08.30-2.30 i M-salar Examinatorer Rolf Snedsböl, tel. 772 665 Kontaktpersoner under tentamen Som ovan. Tillåtna hjälpmedel Häftet

Läs mer

LEU240 Mikrodatorsystem

LEU240 Mikrodatorsystem Institutionen för data- och informationsteknik 2011-10-11 LEU240 Mikrodatorsystem Vi har tidigare i olika sammanhang sett att det är önskvärt att kunna använda ett högnivåspråk som C för att skriva program

Läs mer

Denna genomgång behandlar följande:

Denna genomgång behandlar följande: itlararen.se Denna genomgång behandlar följande: Olika typer av nätverk Översikt av nätverkskomponenter Många viktiga begrepp gällande nätverk och datorkommunikation Ett nätverk består av enheter som kan

Läs mer

Mätteknik 2016 Mätsystem

Mätteknik 2016 Mätsystem Mätteknik 2016 Mätsystem Per Augustsson [per.augustsson@bme.lth.se] Inst. för Biomedicinsk Teknik 1 Upplägg Mätsystem Om laborationen Lab View Laborationsövningar Inst. för Biomedicinsk Teknik 2 http://www.fitbit.com/jobs

Läs mer

INTAB Interface-teknik AB Bruksanvisning för SMS-volt. SMS-volt

INTAB Interface-teknik AB Bruksanvisning för SMS-volt. SMS-volt INTAB Interface-teknik AB 2005-01-14 Bruksanvisning för SMS-volt SMS-volt Bruksanvisning SMS-volt Grundläggande inställningar och funktioner Nödvändiga åtgärder: (glöm inte pkt 3) 1. Börja med att öppna

Läs mer

Modemprogrammering med PL7

Modemprogrammering med PL7 Modemprogrammering med PL7 PL7 Mjukvara Kom-igång med programmering via telefonmodem 2004-01-14 INNEHÅLLSFÖRTECKNING 1 INLEDNING...3 2 ERFODERLIG HÅRDVARA...3 2.1 ATT MONTERA VID DEN LOKALA PLC:N...3 2.1.1

Läs mer

Multi-ported cache En rapport om några lösningar till att få flera minnesaccesser simultant.

Multi-ported cache En rapport om några lösningar till att få flera minnesaccesser simultant. Multi-ported cache En rapport om några lösningar till att få flera minnesaccesser simultant. Sammanfattning När processorns klockhastighet ökar medför det en ökning av instruktioner vilket såklart ökar

Läs mer

Systemkonstruktion SERIEKOMMUNIKATION

Systemkonstruktion SERIEKOMMUNIKATION Systemkonstruktion SERIEKOMMUNIKATION Laborationsansvariga: Anders Arvidsson Utskriftsdatum: 2005-04-26 Syfte Laborationen syftar till att ge studenten tillfälle att närmare bekanta sig med RS-232-protokollet,

Läs mer

Installation- och montageanvisning Modbus RTU Datakommunikationsmodul med RS-485 Modbus-RTU gränssnitt MF-HTT Inkoppling Några generella regler:

Installation- och montageanvisning Modbus RTU Datakommunikationsmodul med RS-485 Modbus-RTU gränssnitt MF-HTT Inkoppling Några generella regler: Installation- och montageanvisning [Dok. id: mi-320se_151028] Modbus RTU Datakommunikationsmodul med RS-485 gränssnitt Modbus-RTU MF-HTT Inkoppling Modulkort för Modbus RTU använder RS-485 som gränssnitt

Läs mer

Tentamen PC-teknik 5 p

Tentamen PC-teknik 5 p Tentamen PC-teknik 5 p Lösningar och kommentarer Program: Di2, Em3, Et3 Datum: 02-10-13 Tid: 08:00-13:00 Lokal E104 Hjälpmedel: Linjal, miniräknare, Instruktionsrepertoar för 8086 (utdelas), Lathund, Pacific

Läs mer

Programexempel för FLEX

Programexempel för FLEX Aktivera Kursens mål: Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruera styrenheten. genom att. implementera olika maskininstruktioner i styrenheten. Kunna använda

Läs mer

Styrenheten 9/17/2011. Styrenheten - forts Arb s 120. LV4 Fo10. Aktivera Kursens mål: Kap 7 Blå

Styrenheten 9/17/2011. Styrenheten - forts Arb s 120. LV4 Fo10. Aktivera Kursens mål: Kap 7 Blå Aktivera Kursens mål: LV4 Fo10 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Koppla samman register och ALU till en dataväg Minnets uppbyggnad och anslutning till datavägen

Läs mer

Skriftlig tentamen i kursen TDTS04 Datornät och distribuerade system kl. 8 12

Skriftlig tentamen i kursen TDTS04 Datornät och distribuerade system kl. 8 12 LiTH, Tekniska högskolan vid Linköpings universitet 1(8) IDA, Institutionen för datavetenskap Juha Takkinen 2009-03-16 Skriftlig tentamen i kursen TDTS04 Datornät och distribuerade system 2009-03-14 kl.

Läs mer

LiTH Lab1: Asynkron seriell dataöverföring via optisk länk Laboration 1. Asynkron seriell dataöverföring via optisk länk

LiTH Lab1: Asynkron seriell dataöverföring via optisk länk Laboration 1. Asynkron seriell dataöverföring via optisk länk Lab: 2007-09-06 Laboration Asynkron seriell dataöverföring via optisk länk Kravspecifikation Lennart Bengtsson Version.4 Granskad Godkänd Status Lennart Bengtsson Sida PROJEKTIDENTITET Laborationsgrupp,

Läs mer

Seriekommunikation. Ur innehållet: Nätverkstopologier Nätverksprotokoll LIN, CAN Asynkron/synkron seriell överföring Programmering av USART-krets

Seriekommunikation. Ur innehållet: Nätverkstopologier Nätverksprotokoll LIN, CAN Asynkron/synkron seriell överföring Programmering av USART-krets Seriekommunikation Ur innehållet: Nätverkstopologier Nätverksprotokoll LIN, CAN Asynkron/synkron seriell överföring Programmering av USART-krets Läsanvisningar: Arbetsbok kapitel 7 Seriekommunikation 1

Läs mer

CAN-gränssnitt för påbyggnad. Scanias CAN-gränssnitt för påbyggnad. CAN-anslutning. Krav på CAN-kommunikation med fordonet

CAN-gränssnitt för påbyggnad. Scanias CAN-gränssnitt för påbyggnad. CAN-anslutning. Krav på CAN-kommunikation med fordonet CAN-anslutning På fordon med BWE (Bodywork Electrical System) är CAN-anslutningen för påbyggnadsutrustning förbindningsdonet C493, stift 3 (CAN-low) och stift 4 (CANhigh). Om fordonet är utrustat med fabriksditsatt

Läs mer

Elektronik. Viktor Öwall, Digital ASIC Group, Dept. of Electroscience, Lund University, Sweden-

Elektronik. Viktor Öwall, Digital ASIC Group, Dept. of Electroscience, Lund University, Sweden- Analogt och Digital Viktor Öwall Analoga och Digitala Signaler Analogt Digitalt 001100101010100000111110000100101010001011100010001000100 t Analogt kontra Digitalt Analogt få komponenter låg effektförbrukning

Läs mer

Modbus Seriell Kommunikation

Modbus Seriell Kommunikation Översikt Modbus protokollet är en allmänt använd och väldokumenterad kommunikationsmetod. Det är ett enkelt och effektivt sätt att programmera våra olika produkter A typiskt Modbus-paket ser ut så här:

Läs mer

LOGOPRINT Bruksanvisning. Punktskrivare med text skrivning och LED punkt-matris display

LOGOPRINT Bruksanvisning. Punktskrivare med text skrivning och LED punkt-matris display LOGOPRINT 500 Punktskrivare med text skrivning och LED punkt-matris display 95.4012 Bruksanvisning Med reservation för ändringar 1 Operating nivå (S-level) Konfigurerings nivå 2 (C2) Pappershastighet Instrument

Läs mer

D0013E Introduktion till Digitalteknik

D0013E Introduktion till Digitalteknik D0013E Introduktion till Digitalteknik Slides : Per Lindgren EISLAB per.lindgren@ltu.se Ursprungliga slides : Ingo Sander KTH/ICT/ES ingo@kth.se Vem är Per Lindgren? Professor Inbyggda System Från Älvsbyn

Läs mer

GPIO - General Purpose Input Output

GPIO - General Purpose Input Output GPIO - General Purpose Input Output Ur innehållet: Ideala och verkliga signaler Bitvis in- och utmatning Anslutning - fysiskt gränssnitt F407 - GPIO-modul tillämpningar Läsanvisningar: Arbetsbok avsnitt

Läs mer

Lathund - Konfiguration av PLC och dator

Lathund - Konfiguration av PLC och dator Lathund - Konfiguration av PLC och dator Johan Dahlin och Ylva Jung 17 januari 2012 1 Introduktion Detta dokument innehåller information om konfigurationen av PLC:n och tillhörande dator för laborationerna

Läs mer

Digital- och datorteknik

Digital- och datorteknik Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KRSNAMN Digital- och datorteknik PROGRAM: KRSBETECKNING Data-, elektro- och mekatronikingenjör Åk / lp och LE43 EXAMINATOR

Läs mer

PARITETSKONTROLL. Om generatorn i vidstående exempel avkänner ett jämt antal ettor ger den en nolla ut. Detta innebär att överföringen

PARITETSKONTROLL. Om generatorn i vidstående exempel avkänner ett jämt antal ettor ger den en nolla ut. Detta innebär att överföringen PARITETSKONTROLL Paritetskontroll (likhetskontroll) användes för att kontrollera att dataordet inte förändrats på sin väg via överföringsledningarna, från ett ställe till ett annat. Antag att man vill

Läs mer