Digitala projekt Elektro- och informationsteknik

Relevanta dokument
Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Konstruktionsmetodik för sekvenskretsar

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik

Programmerbar logik och VHDL. Föreläsning 1

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

VHDL 1. Programmerbara kretsar

L15 Introduktion modern digital design

Programmerbara kretsar och VHDL. Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2

Programmerbara kretsar och VHDL 1. Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik

DIGITALTEKNIK. Laboration D172

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov)

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD

Datorkonstruktion. Datorkonstruktion 2018, 8hp

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD

Digitala system EDI610 Elektro- och informationsteknik

Konstruktion av digitala system - VHDL

std_logic & std_logic_vector

DESIGN AV SEKVENTIELL LOGIK

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

KALKYLATOR LABORATION4. Laborationens syfte

Angående buffer. clk clear >=1 =9?

IE1205 Digital Design: F11: Programmerbar Logik, VHDL för Sekvensnät

VHDL och laborationer i digitalteknik

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

Temperaturmätare med lagringsfunktion DIGITALA PROJEKT EITF11 GRUPP 14, ERIK ENFORS, LUDWIG ROSENDAL, CARL MIKAEL WIDMAN

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

Digital- och datorteknik, , Per Larsson-Edefors Sida 1

LABORATION TSEA22 DIGITALTEKNIK D

VHDL testbänk. Mall-programmets funktion. Låset öppnas när tangenten 1 trycks ned och sedan släpps. William Sandqvist

VHDL2. Sekvensnätsexemplet

VHDL2. Sekvensnätsexemplet

Simulering med ModelSim En kort introduktion

Digital elektronik CL0090

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna

Sekvensnät. William Sandqvist

Design av mindre digitala system. Föreläsning Digitalteknik, TSEA52 Mattias Krysander Institutionen för systemteknik

LABORATIONSINSTRUKTION LABORATION

Studiehandledning. Digitalkonstruktion 5p

Digitalteknik, fortsättningskurs Föreläsning VHDL Very High Speed Integrated Circuit Hardware Description Language

Digitalteknik syntes Arne Linde 2012

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler,

LABORATION DATORKONSTRUKTION TSEA83 UART. Namn och personnummer. Version: (OS)

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

Digitalteknik: CoolRunner-II CPLD Starter Kit Med kommentarer för kursen ht 2012

LAB VHDL-programmering

VHDL3. Angående buffer

Digital elektronik CL0090

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

Digitalteknik: CoolRunner-II CPLD Starter Kit

Omtentamen IE Digital Design Måndag 14/

Flödesschema som visar hur man använder Quartus II.

Digital Design IE1204

TEMPERATUR OCH VINDMÄTARE MED HÖGTALARFUNKTION

KOMBINATORISKA FUNKTIONER...1

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1.

LABORATIONSINSTRUKTION

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse

Digital Design IE1204

Introduktion till E-block och Flowcode

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp

LABORATION TSEA22 DIGITALTEKNIK D

Tentamen IE Digital Design Måndag 23/

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D

Digitala elektroniksystem

Digitalteknik syntes. Digitalteknik syntes Arne Linde 2012

Laboration VHDL introduktion

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Gustaf Backman, Anton Nystedt, Nicholas Peebo Battleships. Lunds tekniska högskola. EITF11 Digitala projekt

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.

Schemaunderlag för Programmering, grundkurs (TDDB18)

Programable Logic Devices

Översikt, kursinnehåll

INSTITUTIONEN FÖR FYSIK

Projekt EITA15. Väckarklocka. LTH Ingenjörshögskolan vid Campus Helsingborg Datateknik

Tentamen i Elektronik 5hp för E2/D2/Mek2

Kandidatprojekt i elektronik Efter fullgjord kurs ska ni kunna: Kandidatprojekt i elektronik, 16 hp Kursansvarig: Tomas Svensson

Elektronik EITA35: Elektronik. Erik Lind

Rapport Digitala Projekt EITF11 Grupp 4 Axel Sundberg, Jakob Wennerström Gille Handledare: Bertil Lindvall

Tentamen i Grundläggande ellära och digitalteknik ETA 013 för D

Digital Konstruktion TSEA43. Ingemar Ragnemalm 2001, Olle Seger 2003-,

Välkomna till KMM! KMM. KMM - lärandemål Efter fullgjord kurs ska ni bland annat kunna:

Generering av analoga signaler från XSV300

Konstruktion av en radiostyrd legobil. Digitala projekt av Arbon Vata Leonardo Vukmanovic Amid Bhatia

The Secure Light. Digitala Projekt EITF11. Hanna Tinglöf, I-12 Anna Horvath, I-12 Filippa Österlin, I-12. Handledare: Bertil Lindvall

Laboration 6. A/D- och D/A-omvandling. Lunds universitet / Fakultet / Institution / Enhet / Dokument / Datum

Tentamen med lösningar IE Digital Design Måndag 23/

Innehållsförteckning. Figur- och tabellförteckning. Figure 1 Blockschema över hårdvaran...4 Figure 2 Blockschema över programet...

Elektronik ESS 010 Elektronik. Erik Lind

Snake. Digitala Projekt (EITF11) Fredrik Jansson, I-12 Lunds Tekniska Högskola,

LEJON LABORATION3. Laborationens syfte

INSTITUTIONEN FÖR FYSIK

Teknik som skolämne del 1, 15 hp

Pulsmätare med varningsindikatorer

Tentamen i IE1204/5 Digital Design Torsdag 29/

Digitala Projekt (EITF11)

Transkript:

Digitala projekt Elektro- och informationsteknik

Digitala projekt (I) VT1 huvudsakligen teori och VT2 konstruktionsarbete i projektlabb 10 hp motsvarar ca 7 veckor heltid! Godkännande; U, G Gruppstorlek; 2-3 studenter Lektioner; 4 obligatoriska vardera 2 timmar VT1 Laborationer ; 2 obligatoriska vardera 2 timmar VT1 Projekt; Under VT2, Dygnet runt, kortaccess Lärare ; Bertil Lindvall, kursansvarig Rum E:3132A Christoffer Cederberg Rum E:3150G

Syfte och mål Syfte Syftet med kursen är att illustrera industriellt utvecklingsarbete Mål analysera och beskriva system av låg och medelhög komplexitet testa och felsöka en konstruktion på ett systematiskt sätt söka upp och tillgodogöra sig relevant information Färdighet realisera digitala system av låg och medelhög komplexitet driva ett projekt framåt till en fungerande prototyp formulera sig muntligt och skriftligt

Kursens upplägg Föreläsningar Föreläsning 1: Introduktion, Gruppindelning, grundläggande elektronik samt inför föreläsning 2 ha bestämt uppgift. Föreläsning 2: Fortsättning elektronik. Skissa på ett enkelt projekt, typ väderstation. Föreläsning 3:Fortsättning av projektbygget Föreläsning 4:Utvecklingsverktygen på våra datorer. Atmel studio 7, JTAG ice3 eller MKII samt lite C programmering

Laborationer Laboration 1: En enkel övning att med hjälp av ett datablad (LM555) koppla upp en blinkande lysdiod Laboration 2: Uppdelad i två identiska tillfällen. Vi tittar på vilka program som finn på våra datorer och testar med ett mycket enkelt program. Välj ett av tillfällena enligt schemat.

CEQ Kanske borde gå igenom grunder i ellära först. Föreläsningarna i början relaterade inte alls till det som skulle göras i det senare projektet. Större delen av arbetet blev frustration och väntande Jag var på alla föreläsningar och alla labbar i lp3, men jag tycker inte det hjälpte mig så mycket när projektet väl började. Det var mycket som utelämnades under föreläsningarna samtidigt som vissa andra saker nämndes på nästan varje föreläsning Denna kurs håller för låg nivå för att ingå på I.

Vad är målet?

Hur kommer vi dit?

Ritning

Blockschema

U P RI UI

Motstånd Z R R R 1 2 1 1 1 R R 1 2 R 2 U1 U R 1 R 2

Kondensatorn Z 1 j C 1 1 1 C C 1 2 1 2 C C kapacitiv reaktans = frekvensberoende motstånd Xc 1 2 fc

Diod/Lysdiod Transistor

OP förstärkare Den ideala OP förstärkarens egenskaper: Oändlig fösträrkning Hög inimpedans Ingen utimpedans

På väg till logiska grindar Simple inverter (NOT) http://tams-www.informatik.uni-hamburg.de/applets/hades/

Grindar IN OUT 0 1 1 0 IN1 IN2 OUT 0 0 0 0 1 0 1 0 0 1 1 1 IN1 IN2 OUT 0 0 0 0 1 1 1 0 1 1 1 1 IN1 IN2 OUT 0 0 0 0 1 1 1 0 1 1 1 0

D-vippor clk D Q Q L X Q Q clk L L H clk H H L

Programmerbar logik SPLD (Simple Plrogrammable Logic Devices) konfigurerbara logiska grindar, programmerbara förbindningspunkter och minnes-vippor. Billig typ, 22CV10 CPLD (Complex Programmable Logic Device): En kombination av åtskilliga SPLD:er i en enda IC-kapsel, Macroceller, typ Lattce 1016 FPGA (Field Programmable Gate Array) Ett stort antal funktionsblock (grindar,vippor) som löser de logiska kraven. typ Xilinx 4000 http://www.eit.lth.se/fileadmin/eit/courses/edi021/datablad/logik/programmable/

Mjukvara VHDL hårdvarubeskrivande kod -- VHDL exempel program: DFlipFlop.vhd library IEEE; use IEEE.std_logic_1164.all; entity DFlipFlop is port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; D : in STD_LOGIC; Q : out STD_LOGIC; ); end DFlipFlop; architecture behaviour of DFlipFlop is begin process(clk) begin if rising_edge(clk) then if RST = '1' then Q <= '0'; else Q <= D; end if; end if; end process; end behaviour;