Digital- och datorteknik. Lars-Eric Arebrink. vid flera tillfällen. Betyg 4: 36 poäng Betyg 5: 48 poäng

Relevanta dokument
Digital- och datorteknik. Lars-Eric Arebrink. Betyg 4: 36 poäng Betyg 5: 48 poäng

TENTAMEN. Digital- och datorteknik. Institutionen för data- och informationsteknik Avdelningen för datorteknik LEU431. Lars-Eric Arebrink

Digital- och datorteknik

Digital- och datorteknik. Lars-Eric Arebrink. Betyg 4: 36 poäng Betyg 5: 48 poäng

Digital- och datorteknik

Digital- och datorteknik. Mekatronik-, data- och elektroingenjör Åk 1/ lp 1o2. Lars-Eric Arebrink. Av institutionen utgiven. vid flera tillfällen

Digital- och datorteknik

TENTAMEN(Nu anpassad till FLIS- processorn)

Digital- och datorteknik. Lars-Eric Arebrink. vid flera tillfällen. Betyg 4: 36 poäng Betyg 5: 48 poäng

Digital- och datorteknik. Lars-Eric Arebrink. Av institutionen utgiven instruktionlista FLEXIBLE INSTRUKTION SET PROCESSOR FLISP

Maskinorienterad programmering. Mekatronikingenjör åk 2/ lp 3. Lars-Eric Arebrink. Av institutionen utgiven. Lars-Eric Arebrink

Maskinorienterad programmering

TENTAMEN (Något redigerad)

Maskinorienterad programmering

Lars-Eric Arebrink. Av institutionen utgiven. vid flera tillfällen. Betyg 4: 36 poäng Betyg 5: 48 poäng

TENTAMEN. Digital- och datorteknik E. Institutionen för data- och informationsteknik Avdelningen för datorteknik. Elektro Åk 1/ lp 4 EDA216/DIT790

Maskinorienterad programmering. Mekatronikingenjör åk 2/ lp 3. Lars-Eric Arebrink. Av institutionen utgiven. vid flera tillfällen.

Tentamen. EDA432 Digital och datorteknik IT INN790 Digital och datorteknik GU. Måndag 23 oktober 2006, kl i V-salar

Lösningsförslag tenta

Ext-13 (Ver ) Exempel på RTN-beskrivning av FLEX-instruktioner

Exempel 3 på Tentamen

Ext-13 (Ver ) Exempel på RTN-beskrivning av FLEX-instruktioner

EDA215 Digital- och datorteknik för Z

Tentamen. EDA432 Digital- och datorteknik, It DIT790 Digital- och datorteknik, GU. Onsdag 12 Januari 2011, kl

Tentamen 3. EDAxxx Grundläggande Datorteknik DIT791 Grundläggande Datorteknik, GU. Måndag xx Oktober 20xx, kl

LV6 LV7. Aktivera Kursens mål:

CHALMERS TEKNISKA HÖGSKOLA

Maskinorienterad programmering

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15.

exempel på Tentamen 2

Exempel 2 på Tentamen med lösningar

Assemblerprogrammeringsuppgifter för FLIS-processorn

Tentamen. EDA452 Grundläggande Datorteknik, D DIT790 Digital- och datorteknik, GU. Måndag 17 December 2012, kl

Tentamen med lösningar

Tentamen. EDA432 Digital- och datorteknik, IT DIT790 Digital- och datorteknik, GU. Måndag 18 Oktober 2010, kl

Styrenheten 9/17/2011. Styrenheten - forts Arb s 120. LV4 Fo10. Aktivera Kursens mål: Kap 7 Blå

Exempel 1 på Tentamen med lösningar

ALU:n ska anslutas hur då?

Digital- och datorteknik

Digital- och datorteknik

Digital- och datorteknik

TENTAMEN (med svar och vissa lösningar)

Tentamen (Svar och lösningsförslag)

Tentamen. EDA485 Maskinorienterad programmering Z DAT015 Maskinorienterad programmering IT. Tisdag xx yyyy 2006, kl

Grundläggande Datorteknik Digital- och datorteknik

EDA Digital och Datorteknik

Programmering i maskinspråk (Maskinassemblering)

Programexempel för FLEX

Digital- och datorteknik

LEU431. Digital- och datorteknik. Diverse kompletterande material

Struktur: Elektroteknik A. Digitalteknik 3p, vt 01. F1: Introduktion. Motivation och målsättning för kurserna i digital elektronik

Exempel på tentamen 1

Programmering i maskinspråk (Maskinassemblering)

Digital- och datorteknik

Tentamen. EDA432 Digital- och datorteknik, It DIT790 Digital- och datorteknik, GU. Måndag 19 oktober 2009, kl

Digital- och datorteknik

Digital- och datorteknik

Digital- och datorteknik

Digitalteknik EIT020. Lecture 15: Design av digitala kretsar

Assemblerprogrammering del 1

Övningsuppgifterna i kapitel F avser FLIS-processorn, vars instruktioner och motsvarande koder definieras i INSTRUKTIONSLISTA FÖR FLISP.

Digital- och datorteknik

Ext-14 (Ver ) Ext-14. FLEX-processorns styrenhet med fast logik

Digital- och datorteknik

TENTAMEN Datorteknik (DO2005) D1/E1/Mek1/Ö1

EDA451 - Digital och Datorteknik 2010/2011. EDA Digital och Datorteknik

Avbrottshantering. Övningsuppgifter

PARITETSKONTROLL. Om generatorn i vidstående exempel avkänner ett jämt antal ettor ger den en nolla ut. Detta innebär att överföringen

Lösningar till tentamen i EIT070 Datorteknik

Tentamen. DAT015 Maskinorienterad programmering IT. Fredag 21 april 2006, kl i V-salar

Digital- och datorteknik

Digital- och datorteknik

Extrauppgifter för CPU12

Digital- och datorteknik

Konstruera en dator mha grindar och programmera denna Använda en modern microcontroller

MANUALBLAD MODULER TILL DIGITALMASKINEN

Tentamen. EDA485 Maskinorienterad programmering Z. Måndag 6 mars 2006, kl i V-salar

Centralenheten: ALU, dataväg och minne

EDA216. Digital- och datorteknik. Diverse kompletterande material

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp

9/22/2012. Assemblernivå Beskrivning av funktion Automatiskt styrd borrmaskin Positionera borr Starta borr Borra genom arbetsstycke...

EDA451 - Digital och Datorteknik 2009/2010. EDA Digital och Datorteknik

Villkorliga hopp: 9/26/2011. Dagens mål: Du ska kunna.. Villrorliga (Relativa) hopp - forts Arb s 140. LV5 Fo12. LV5 Fo12. Aktivera Kursens mål:

Digital- och datorteknik

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

Reducerad INSTRUKTIONSLISTA för FLIS-processorn

Digital och Datorteknik EDA /2011. EDA 451 Digital och datorteknik 2010/2011. Uppbyggnad_och_funktion.pdf

Digital- och datorteknik

Laboration nr 3 behandlar

Lista på registeruppsättningen i PIC16F877A Datablad TTL-kretsar 74-serien

Tentamen i Digital Design

Digital- och datorteknik

EDA451 - Digital och Datorteknik 2010/2011. EDA Digital och Datorteknik 2010/2011

F2: Motorola Arkitektur. Assembler vs. Maskinkod Exekvering av instruktioner i Instruktionsformat MOVE instruktionen

Tentamen i Datakunskap NT

Tentamen. DAT015 Maskinorienterad programmering IT DIT151 Maskinorienterad programmering GU. Tisdag 15 december 2009, kl

Föreläsningsanteckningar 2. Mikroprogrammering I

Grundläggande datorteknik - exempelsamling. Grundläggande datorteknik - exempelsamling

EDA Digital och Datorteknik

Transkript:

Institutionen för data- och informationsteknik Avdelningen för datorteknik TENTAMEN KURSNAMN Digital- och datorteknik PROGRAM: Data-, elektro- och mekatronikingenjör åk / lp och 2 KURSBETECKNING LEU43 EXAMINATOR Lars-Eric Arebrink TID FÖR TENTAMEN 203-2-6 kl 4.00 8.00 HJÄLPMEDEL Av institutionen utgiven Instruktionslista för FLEX- eller FLIS-processorn (INS) Tabellverk eller miniräknare får ej användas. ANSV LÄRARE: Lars-Eric Arebrink, tel. 772 578 Besöker tentamen ANSLAG AV RESULTAT vid flera tillfällen När rättningen är färdig anslås resultatet med anonyma koder och tid för granskning på kursens hemsida. Observera! Rättningen kommer inte att vara klar före anmälningstidens utgång (23/2) för omtentamen 6 januari. Om du tänker tentera i januari måste du därför anmäla dig innan du vet resultatet på denna tentamen. Du kan sedan avanmäla dig om du vill. ÖVRIG INFORM. Tentamen omfattar totalt 60 poäng. Den som är inskriven före HT 202 kan använda FLEXprocessorn istället för FLIS-processorn vid lösning av assembleruppgifter! Onödigt komplicerade lösningar kan ge poängavdrag. Svar på uppgifter skall motiveras. BETYGSGRÄNSER. Betyg 3: 24 poäng Betyg 4: 36 poäng Betyg 5: 48 poäng SLUTBETYG För slutbetyg 3, 4 eller 5 på kursen fordras betyg 3, 4 eller 5 på tentamen och godkända laborationer.

. I uppgift a-h nedan används 8-bitars tal X, Y, S och D. Aritmetiska operationer utförs på samma sätt och flaggor sätts på samma sätt som i ALU n i bilaga. För tal med tecken används 2k-representation. X = 00 00 2 och Y = 00 0 2. a) Vilket talområde måste X, Y, S och D tillhöra om de tolkas som tal utan tecken? (p) b) Vilket talområde måste X, Y, S och D tillhöra om de tolkas som tal med tecken? (p) c) Visa med papper och penna hur räkneoperationen S = X + Y utförs i en 8-bitars ALU. (p) d) Vilka värden får flaggbitarna N, Z, V och C vid räkneoperationen i c)? (p) e) Visa med papper och penna hur räkneoperationen D = X Y utförs i en 8-bitars ALU. (p) f) Vilka värden får flaggbitarna N, Z, V och C vid räkneoperationen i e)? (p) 2(8) g) Tolka bitmönstren X, Y, S och D som tal utan tecken och ange deras decimala motsvarighet. Avgör och motivera med hjälp av flaggorna om resultaten S och D är korrekta eller felaktiga. (p) 2. 3. h) Tolka bitmönstren X, Y, S och D som tal med tecken och ange deras decimala motsvarighet. Avgör och motivera med hjälp av flaggorna om resultaten S och D är korrekta eller felaktiga. i) Översätt (packa) det decimala talet 25,25 till ett 32-bitars flyttal enligt flyttalsstandarden IEEE 754 (dvs 23 bitar av mantissan). Ge det packade flyttalet på binär och hexadecimal form. (2p) a) Markera det korrekta minimala PS-uttrycket för u i grindnätet till höger på svarsblanketten på sista sidan! b) Markera ett lämpligt uttryck på svarsblanketten för den booleska funktionen f, vars karnaughdiagram visas till höger. NAND-grindar med valfritt antal ingångar, XOR-grindar och NOT-grindar skall användas. Rutor med - representerar dont care -termer som får användas vid behov. Kretsrealiseringen behöver ej visas. a c cd 00 0 0 00 0 0 0 0-0 - - 0 0 0-0 a) Konstruera en T-vippa med hjälp av en D-vippa och standardgrindar. (2p) b) Konstruera en 3-bitars autonom räknare. (Räknesekvens: 0,, 3, 2, 6, 7, 5, 4, 0, ) Numrera tillstånden q 2 q q 0. T-vippor, AND-grindar med valfritt antal ingångar, XOR-grindar och NOT-grindar får användas. (6p) a b ab & = u (p) (3p) (4p) 4. I datavägen till höger innehåller register A(8) och B(8) från början värdena 200 0 resp. 00 0 på binär form. Därefter ges styrsignaler och klockpulser enligt tabellen på svarsblanketten. Komplettera tabellen med RTN-beskrivning samt hexadecimalt registerinnehåll för alla klockpulsintervall. Vid laddning av ett register skall det nya innehållet synas på raden efter laddningen i tabellen. LD A OE A Reg A LD B OE B Reg B D Funkt 0 0 M U C 2 X C in C 3 2 LD R g g 0 OE R LD T U ALU Reg R Reg T E Flaggor ALU-funktioner: Se bilaga! Svarsblanketten finns på sista sidan. (4p)

3(8) 5. Figuren på sidan 45 i INS visar hur FLIS-datorn är uppbyggd. På sidorna 43 och 44 i INS visas hur ALU ns funktion väljs med styrsignalerna f 3 - f 0 och C in. I tabellerna på svarsblanketten på sista sidan visas styrsignalerna för två olika EXECUTE-sekvenser för samma maskininstruktion, en för FLIS- och en för FLEX-processorn. a) Komplettera den övre (FLISP) eller den undre (FLEX) tabellen med RTN-beskrivning! Förklara vilken assemblerinstruktion som beskrivs! Som alternativ kan du alltså använda FLEX-datorn som visas i bilaga 3 med ALU'n i bilaga och den undre tabellen istället för motsvarande för FLIS-datorn. (2p) b) Instruktionen Add memory bytes nedan skall implementeras för FLIS- eller FLEXprocessorn med hjälp av styrenheten med fast logik. Instruktionen består av två ord. Den utför addition av dataorden M(X) och M(Adr). Summan placeras som M(X). Flaggorna skall påverkas som vid en vanlig addition. Efter additionen och lagringen i minnet ökas innehållet i X-registret med. Register som är synliga för programmeraren får ej påverkas, förutom X och CC. Operationskoden DF 6 skall användas. ADMB,X+,Adr RTN: M(X) + M(Adr) M(X), Flags CC X + X OPKOD Komplettera tabellen på svarsblanketten med RTN-beskrivning och styrsignaler för den efterfrågade EXECUTE-sekvensen. (4p) Adr 6. Besvara kortfattat följande frågor rörande FLIS- eller FLEX-processorn. a) Vad händer när man utför en instruktion där man har glömt att aktivera signalen NF i sista tillståndet i EXECUTE? (2p) b) Före det villkorliga hoppet "BPL Adr" i ett program utförs en subtraktion "W 97 6 " som påverkar flaggorna. För vilka värden på talet W utförs hoppet? 8-bitars tal [0,255] 0 används. (3p) c) Översätt subrutinen till höger till maskinkod på hexadecimal form och visa hur den placeras i minnet. Det skall framgå hur offset för branch-instruktionerna beräknas. (3p) d) Subrutinen i c) anropas i huvudprogrammet nedan. ORG $0 LDSP #$FA LDA #0 JSR DELAY STOP BRA STOP Hur lång tid tar subrutinen att köra från och med JSR till och med RTS om FLIS-(FLEX)-processorn klockas med frekvensen MHz? CONST EQU 5 ; ORG $80 ; DELAY PSHA PSHA ; YLOOP LDA #CONST ILOOP DECA BNE ILOOP ; DEC 0,SP BNE YLOOP ; PULA PULA RTS (3p)

7. Denna uppgift innebär att du skall skriva ett program i assemblerspråk för FLIS- eller FLEXprocessorn som liknar programmet "Rinnande ljus" i laboration 4. Istället för att tända en ensam ljusdiod som "flyttar sig" åt ena hållet på utenheten "LED" skall programmet tända två ljusdioder som "flyttar sig" åt var sitt håll. Det innebär att bitmönstret vid start, 000000, även kommer att vara bitmönstret vid slut, dvs. när en tänd ljusdiod har "vandrat" hela vägen från vänster till höger eller tvärtom på rampen. När en tänd ljusdiod försvinner utanför kanten skall den direkt återkomma på andra sidan av ljusdiodrampen. För att få en lagom hastighet på förloppet får du använda en färdig subrutin DELAY, som fördröjer 200 ms. Subrutinen DELAY ändrar inga register eller flaggor. Programmet, som innehåller en evighetsslinga, beskrivs punktvis nedan. Programmet skall i tur och ordning: ) Utföra nödvändiga initieringar bl. a. nollställa utport FC 6, som är kopplad till utenheten "LED". 2) Läsa av inport FB 6. Om bit 7 är nollställd utföra 2) igen, annars skall det fortsätta till 3). 3) Placera bitmöstret 000000 i register A. 4) Mata ut bitmöstret i register A på utport FC 6. 5) Utföra en fördröjning på 200 ms (DELAY). 6) Läsa av inport FB 6. Om bit 7 är nollställd utföra 6) igen. Annars fortsätta till 7). 7) Placera nästa bitmönster i register A och fortsätta till 4). Programmets startadress skall vara 0 6. Subrutinen DELAY finns på adressen 80 6. För full poäng skall programmet vara korrekt radkommenterat. 4(8) (7p)

5(8) 8. Ett mikrodatorsystem skall konstrueras med U2, st 64 kbyte ROM-kapsel, st 2 kbyte RWMkapsel, 4 st 8-bitars "three-state"-buffertar som inportar och 2 st 8-bitars register som utportar. Figuren nedan visar principen för anslutning av olika moduler till U2. Adressbuss E A 5 -A 0 R/W CS-logik RWM CS-logik ROM CS-logik In/Utportar Processor U2 D 7 -D 0 CS R/W RWM D 7 -D 0 CS ROM D 7 -D 0 Indata (8) OE D 7 -D 0 Utdata(8) C Register (8) D 7 -D 0 Databuss RWM-modulen skall placeras så att den upptar de sista 2k adresserna i adressrummet, förutom de sista två adresserna, där inportarna nr 3 och 4 skall placeras, med inport nr 4 på den högsta adressen. På de två adresserna närmast före RWM-modulen skall två inportar och två utportar, med nr och 2 placeras, med nr 2 på den högsta adressen. Det skall alltså finnas både inport och utport på var och en av dessa adresser. Adressområdet som består av de första 52 adresserna skall reserveras för framtida bruk. Ingen modul får därför aktiveras inom detta adressområde. Uppgift: Använd lämpliga signaler från processorn för att konstruera CS-logiken för ROM-modulen, RWMmodulen, inportarna och utportarna. Använd fullständig adressavkodning. Endast grundläggande logikgrindar med valfritt antal ingångar får användas. De användbara adressintervallen för de två minnesmodulerna skall anges på hexadecimal form. Ledning: Adressområdet som omfattar de första 52 adresserna kan betraktas som en modul med 52 olika adresser. (7p)

6(8) Bilaga ALU:ns funktion (FLEX-ALU'n) D(8) E(8) Funktion C in ALU Flaggor U(8) ALU:ns logik- och aritmetikoperationer på indata D och E definieras av ingångarna Funktion (F) och C in enligt tabellen nedan. F = (f 3, f 2, f, f 0 ). I kolumnen Operation förklaras hur operationen utförs. f 3 f 2 f f 0 U = f(d,e,c in ) Operation 0 0 0 0 bitvis nollställning Resultat 0 0 0 D 0 0 0 E 0 0 bitvis invertering D k 0 0 0 bitvis invertering E k 0 0 bitvis OR D OR E 0 0 bitvis AND D AND E 0 bitvis XOR D XOR E 0 0 0 D + 0 + C in D + C in 0 0 D + FFH + C in D + C in 0 0 D + E + C in 0 D + D + C in 2D + C in 0 Carryflaggan (C) innehåller minnessiffran ut (carry-out) från den mest signifikanta bitpositionen (längst till vänster) om en aritmetisk operation utförs av ALU:n. Vid subtraktion gäller för denna ALU att C = om lånesiffra (borrow) uppstår och C = 0 om lånesiffra inte uppstår. Carryflaggans värde är 0 vid andra operationer än aritmetiska. Overflowflaggan (V) visar om en aritmetisk operation ger "overflow" enligt reglerna för 2-komplementaritmetik. V-flaggans värde är 0 vid andra operationer än aritmetiska. Zeroflaggan (Z) visar om en ALU-operation ger värdet noll som resultat på U-utgången. Signflaggan (N) är identisk med den mest signifikanta biten (teckenbiten) av utsignalen U från ALU:n. Half-carryflaggan (H) är minnessiffran (carry) mellan de fyra minst signifikanta och de fyra mest signifikanta bitarna i ALU:n. H-flaggans värde är 0 vid andra operationer än aritmetiska. 0 0 D +E k + C in D E + C in 0 bitvis nollställning 0 bitvis nollställning 0 0 bitvis ettställning FFH I tabellen ovan avser "+" och " " aritmetiska operationer. Med t ex D k menas att samtliga bitar i D inverteras.

0 0 0 0 0 0 0 0 0 0 0 0 b 6 b 5 b 4 b 3 b 2 b b 0 7(8) Bilaga 2 Assemblerspråket för FLEX- och FLIS-processorn. Assemblerspråket använder sig av mnemoniska beteckningar liknande dem som processorkonstruktören MOTOROLA (FREESCALE) specificerat för maskininstruktioner för mikroprocessorerna 68XX och instruktioner till assemblatorn, s k pseudoinstruktioner eller assemblatordirektiv. Pseudoinstruktionerna listas i tabell. Tabell Direktiv Förklaring ORG N Placerar den efterföljande koden med början på adress N. (ORG för ORiGin = ursprung) L RMB N Avsätter N bytes i följd i minnet (utan att ge dem värden), så att programmet kan använda dem. Följden placeras med början på adressen L. (RMB för Reseve Memory Bytes) L EQU N Ger symbolen L konstantvärdet N. (EQU för EQUates = beräknas till) L FCB N,N2 Avsätter en byte för varje argument i följd i minnet. Respektive byte ges konstantvärdet N, N2 etc. Följden placeras med början på adressen L. (FCB för Form Constant Byte) L FCS ABC Avsätter en byte för varje tecken i teckensträngen ABC i följd i minnet. Respektive byte ges ASCII-värdet för A B C, etc. Följden placeras med början på adressen L. (FCS för Form Character String) Tabell 2 7-bitars ASCII NUL DLE SP 0 @ P ` p 0 0 0 0 SOH DC! A Q a q 0 0 0 STX DC2 2 B R b r 0 0 0 ETX DC3 # 3 C S c s 0 0 EOT DC4 $ 4 D T d t 0 0 0 ENQ NAK % 5 E U e u 0 0 ACK SYN & 6 F V f v 0 0 BEL ETB 7 G W g w 0 BS CAN ( 8 H X h x 0 0 0 HT EM ) 9 I Y i y 0 0 LF SUB * : J Z j z 0 0 VT ESC + ; K [Ä k {ä 0 FF FS, < L \Ö l ö 0 0 CR GS - = M ]Å m }å 0 S0 RS. > N ^ n ~ 0 S US /? O _ o RUBOUT (DEL)

Bilaga 3 (Observera att bilden visar FLEX-datorn) Processor MA Adressbuss LD A Reg A LD B Reg B 0 0 M U C 2 X C 3 2 g g 0 Funkt C in D LD R LD T U ALU Reg R Reg T E Flaggor g 2 LD CC 0 MUX Reg CC LD X Reg X IncSP DecSP LD SP SP IncPC LD PC PC LD MA MR MW Adress Minne Data ut Data in OE A OE B OE R OE CC OE X OE S OE PC MR Styrsignaler LD I Reg I Reset Styrenhet Figur. Datorn FLEX.

Svarsblankett (203-2-6) Anonym kod: Uppgift 2: a). u = a'b' + ac' 2. u = ab + a'c' 3. u = (a' + b)(a + c) 4. u = (a + b')(a' + c') 5. u = (a' + b)(b + c)(a + c) 6. u = (a + b')(b' + c')(a' + c') 7. u = ab + bc + a'c 8. u = a'b' + b'c' + ac' b). f = [a(b c d)] + a'd 2. f = a(b c d)' + a'd' 3. f = [a' + (b c d)'](a + d') 4. f = [a' + (b c d)](a + d) 5. f = a'(b c d) + ad 6. f = [a'(b c d)'] + ad' 7. f = [a + (b c d)'](a' + d') 8. f = [a + (b c d)](a' + d) Uppgift 4: Styrsignaler (=) RTN A(8) B(8) T(8) R(8) OE B, LD T C8 64?? 2 OE A, f 3, f 2, LD R 3 OE R, f 3, f, f 0, LD R, LD T 4 OE R, f 3, f, LD R 5 OE R, LD B 6? Uppgift 5: a) (FLISP) State Summaterm RTN-beskrivning Styrsignaler Q 4 Q 4 I xx MR, LD T, INC PC Q 5 Q 5 I xx OE X, f 3, f, f 0, LD R Q 6 Q 6 I xx OE R, LD X, NF (FLEX) State Summaterm RTN-beskrivning Styrsignaler Q 5 Q 5 I xx OE PC, LD MA, IncPC Q 6 Q 6 I xx MR, LD T Q 7 Q 7 I xx OE X, f 3, f, LD R Q 8 Q 8 I xx OE R, LD X, NF Vänd!

Uppgift 5 (forts): b) State Summaterm RTN-beskrivning Styrsignaler