Uvod u VHDL. Marijo Maračić

Relevanta dokument
VHDL och laborationer i digitalteknik

Konstruktionsmetodik för sekvenskretsar

VHDL 1. Programmerbara kretsar

std_logic & std_logic_vector

VHDL testbänk. Mall-programmets funktion. Låset öppnas när tangenten 1 trycks ned och sedan släpps. William Sandqvist

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Angående buffer. clk clear >=1 =9?

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD

DESIGN AV SEKVENTIELL LOGIK

DIGITALTEKNIK. Laboration D172

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov)

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1.

Digitalteknik, fortsättningskurs Föreläsning VHDL Very High Speed Integrated Circuit Hardware Description Language

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse

Digital- och datorteknik, , Per Larsson-Edefors Sida 1

Digitala projekt Elektro- och informationsteknik

Simulering med ModelSim En kort introduktion

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM

KOMBINATORISKA FUNKTIONER...1

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

Sekvensnät. William Sandqvist

Programmerbara kretsar och VHDL 1. Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik

VHDL2. Sekvensnätsexemplet

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Objektna metodologija

KALKYLATOR LABORATION4. Laborationens syfte

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler,

VHDL2. Sekvensnätsexemplet

VHDL Basics. Component model Code model Entity Architecture Identifiers and objects Operations for relations. Bengt Oelmann -- copyright

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.

PARALLELL OCH SEKVENTIELL DATABEHANDLING. Innehåll

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Konstruktion av digitala system - VHDL

Tentamen IE Digital Design Måndag 23/

VHDL3. Angående buffer

Programmerbara kretsar och VHDL. Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Digitala elektroniksystem

Digitalteknik syntes Arne Linde 2012

LABORATIONSINSTRUKTION LABORATION

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

LAB VHDL-programmering

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

Design av mindre digitala system. Föreläsning Digitalteknik, TSEA52 Mattias Krysander Institutionen för systemteknik

Tenta i Digitalteknik

Tentamen med lösningar IE Digital Design Måndag 23/

IE1205 Digital Design: F11: Programmerbar Logik, VHDL för Sekvensnät

Tentamen i IE1204/5 Digital Design måndagen den 15/

Digital Design IE1204

Datorkonstruktion. Datorkonstruktion 2018, 8hp

Digital elektronik CL0090

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp

Tentamen i IE Digital Design Fredag 21/

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL

IE1204/5 Digital Design typtenta

Programmerbar logik och VHDL. Föreläsning 1

Digital Design IE1204

L15 Introduktion modern digital design

IE1204/5 Digital Design typtenta

Tenta i Digitalteknik

Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I

LABORATION TSEA22 DIGITALTEKNIK D

Flödesschema som visar hur man använder Quartus II.

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

Omtentamen IE Digital Design Måndag 14/

Tentamen med lösningar i IE Digital Design Fredag 21/

Digital Design IE1204

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2

Tenta i Digitalteknik

Omtentamen med lösningar i IE1204/5 Digital Design Fredag 10/

LABORATION DATORKONSTRUKTION TSEA83 UART. Namn och personnummer. Version: (OS)

IE1204/IE1205 Digital Design

Digital elektronik CL0090

Chalmers Tekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 1 - VHDL

Programmerbar logik och VHDL. Föreläsning 4

INTRODUKTION TILL VIVADO

Generering av analoga signaler från XSV300

Tentamen i IE1204/5 Digital Design Torsdag 29/

DESIGN AV KOMBINATORISK LOGIK

Sensorsystem till hinderhanterande robot

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

Design av inbyggda system

Digital Design IE1204

Tenta i Digitalteknik

FIFO-kostruktion baserat på ett enkel-ports SRAM Yusuf Duman

Tentamen IE Digital Design Fredag 13/

Dvo as VII - alternativna re²enja

Omtentamen med lösningar IE Digital Design Måndag 14/

Videokort för VME-bussen

Tentamen med lösningar i IE1204/5 Digital Design Torsdag 29/

Examens arbete 10-poäng C-nivå Mälardalens Högskola Testbed for a semi-full-custom mixed signal ASIC

Digital Konstruktion TSEA43. Ingemar Ragnemalm 2001, Olle Seger 2003-,

Tenta i Digitalteknik

Design av inbyggda system

Design av inbyggda system. Innehåll. Hårdvarunära design. Hårdvarunära design. Hårdvarunära design. Hårdvarunära design TDD

Transkript:

Uvod u VHDL Marijo Maračić

VHDL V(hsic)HDL Very high speed integrated circuit Hardware Description Language Jezik za opisivanje digitalnih elektroničkih sustava Omogućuje opisivanje strukture sustava, ponašanja sustava i simulaciju sustava Omogućuje modeliranje paralelnog izvođenja i kašnjenja Dodatni alati za sintezu mogu VHDL opis prevesti u sklopovsku implementaciju Ne razlikuje velika i mala slova!

Dijelovi VHDL modela Obavezni dijelovi: Sučelje sklopa ENTITY blok Opis rada sklopa ARCHITECTURE blok

Signali Signal = vodič (sa memorijom) Kao dio sučelja Ime : smjer tip; Interni koriste se u opisu rada komponente signal ime : tip; Dodjeljivanje <= Moguće modelirati kašnjenje Signal varijabla

Logičke operacije na podskupu std_logic_1164

Biblioteke Sadrže dodatne komponente std_logic_1164 viševrijednosne logičke operacije (0,1,U,Z...; ukupno 9) Upotreba (obavezno na početku source filea):

Sučelje sklopa Ulazi i izlazi entity TFlipFlop is port( clk: in std_logic; reset: in std_logic; T: in std_logic; q, qn : out std_logic ); end TFlipFlop;

Opis rada sklopa Opisivanje strukture strukturni opis digitalni sustav se opisuje pomoću podsustava i načina na koji su povezani. Hijerarhija podkomponenti Najniže komponente su uvijek opisane ponašajno Opisivanje ponašanja ponašajni opis na koji način se ulazi preslikavaju na izlaz

Opis rada sklopa - ponašajni architecture BehavioralSin of TFlipFlop is begin process(clk) variable temp: std_logic; begin if rising_edge(clk) then if reset = '1' then temp := '0'; else if T='1' then temp := not temp; end if; end if; end if; qn <= not temp after 50 ns; q <= temp after 50 ns; end process; end architecture; Elementi bloka architecture

Opis rada sklopa - Strukturni entity Digit is port( ); end Digit; clk: in std_logic; reset: in std_logic; increase: in std_logic; done: out std_logic; output: out std_logic_vector(width downto 0)

Opis rada sklopa - Strukturni architecture Structural16P of Digit is component TFlipFlop is port ( clk: in std_logic; reset: in std_logic; T: in std_logic; q, qn : out std_logic ); end component; signal tempout: std_logic_vector(width downto 0); signal tempin: std_logic_vector(width downto 1); signal tempdone: std_logic; signal tempreset: std_logic; Interni signali, komponente

Opis rada sklopa - Strukturni begin tempreset <= (tempdone and increase) or reset; b0: TFlipFlop port map (clk => clk, reset=>tempreset, T=>increase, q=>tempout(0), qn=>open); b1: TFlipFlop port map (clk, tempreset, tempin(1), tempout(1), open); b2: TFlipFlop port map (clk => clk, reset=>tempreset, T=>tempIn(2), q=>tempout(2), qn=>open); b3: TFlipFlop port map (clk => clk, reset=>tempreset, T=>tempIn(3), q=>tempout(3), qn=>open); end architecture; Instance/primjerci implementacije modela u drugim modelima Pozicijsko povezivanje, povezivanje po imenu (poredak nebitan) Alternativa komponenti: entity work.tflipflop

Zadatak

Izvršavanje izraza Redosljed izvršavanja izraza ISTODOBNO Lista osjetljivosti lista signala koji utječu na ponovno izvršavanje/izračunavanje procesa/izraza Implicitna lista osjetljivosti signali desno od operatora dodjele U listi nikad nisu izlazni signali

Rad sklopova/simulatora Opisivanje algoritama blok process Jedna operacija sa stajališta simulatora Izrazi unutar procesa izvršavaju se slijedno Eksplicitna lista osjetljivosti obavezna ima barem 1 signal

Rad sklopova/simulatora Sklopovi konstantno rade. Analiza? Simulator Vrijeme simulacije Realno vrijeme Računa iznose izraza signala samo u trenucima promjene Računanje se izvodi u nizu delta ciklusa Nakon što trenutna promjena kroz niz delta ciklusa procirkulira kroz sklop simulator pomakne vrijeme simulacije na vrijeme sljedeće promjene Simulator samo na početku simulacije pokreće izračunavanje svih izraza u svim modelima.

Rad sklopova/simulatora Delta ciklusi Nema protoka simulacijskog vremena Tri dijela: Inicijalno stanje, izračunavanje (na temelju inicijalnog stanja) i dodjela. Računa se vrijednost izraza čije liste osjetljivosti sadrže signal koji se promijenio Delta ciklusi se iterativno dodaju dok god se u prethodnom ciklusu dogodila promjena signala koji je u listi osjetljivosti nekog izraza. Delta ciklusi trenutno provedu promjenu kroz sve izraze na koje ona može utjecati bez obzira na položaj u kodu istovremenost izraza paralelizam sklopovlja.

Završne napomene Prelazimo na pdf Literatura: Čupić, Digitalna elektronika i Digitalna logika. Zbirka riješenih zadataka. Kigen, 2006.; (zadaci 2.1, 2.2, 16.2, 16.6, 16.7, 16.8, pogledati 16.1) Ova prezentacija: www.zemris.fer.hr/~mmaracic (link: Uvod u VHDL)