Datorkonstruktion. Datorkonstruktion 2018, 8hp

Relevanta dokument
VHDL 1. Programmerbara kretsar

LABORATION DATORKONSTRUKTION TSEA83 UART. Namn och personnummer. Version: (OS)

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik

Digitala projekt Elektro- och informationsteknik

Programmerbara kretsar och VHDL. Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Konstruktionsmetodik för sekvenskretsar

Design av mindre digitala system. Föreläsning Digitalteknik, TSEA52 Mattias Krysander Institutionen för systemteknik

Konstruktion av digitala system - VHDL

Programmerbar logik och VHDL. Föreläsning 1

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL

VGA-lab TSEA83 Datorkonstruktion

Angående buffer. clk clear >=1 =9?

Programmerbara kretsar och VHDL 1. Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik

L15 Introduktion modern digital design

Sekvensnät. William Sandqvist

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

KALKYLATOR LABORATION4. Laborationens syfte

Simulering med ModelSim En kort introduktion

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2

Associativt minne ... A= adress till PM/CM CL = cachelinens storlek CM = cacheminnets storlek. Tag = A/CL = A/2 4 = A(31:4) Adress. K tag.

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov)

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

VHDL2. Sekvensnätsexemplet

VHDL2. Sekvensnätsexemplet

Datorkonstruktion. Programkurs 8 hp Computer Hardware and Architecture TSEA83 Gäller från: Fastställd av. Fastställandedatum

DESIGN AV SEKVENTIELL LOGIK

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM

std_logic & std_logic_vector

Sekvensnät i VHDL del 2

VHDL3. Angående buffer

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD

IE1205 Digital Design: F9: Synkrona tillståndsautomater

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Bussar och I/O. samt. vad kan man göra med NEXYS3

Bussar och I/O. samt. vad kan man göra med NEXYS3. Nexys3. Prog + spänningsmatning. Expansionskort

Digitala System: Datorteknik ERIK LARSSON

Tenta i Digitalteknik

LABORATIONSINSTRUKTION

LEJON LABORATION3. Laborationens syfte

Digitala system EDI610 Elektro- och informationsteknik

Programmerbar logik och VHDL. Föreläsning 4

Digital- och datorteknik, , Per Larsson-Edefors Sida 1

IE1205 Digital Design: F11: Programmerbar Logik, VHDL för Sekvensnät

Simulering med ModelSim En kort introduktion

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD

Tentamen i Digitala system - EITA15 15hp varav denna tentamen 4,5hp

DIGITALTEKNIK. Laboration D172

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

LABORATIONSINSTRUKTION LABORATION

3. Mikroprogrammering II

Digital elektronik CL0090

Digital Design IE1204

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp

TSEA22 Digitalteknik 2019!

PROGRAMMERING AV MCU LABORATION6. Laborationens syfte

VHDL och laborationer i digitalteknik

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen IE Digital Design Måndag 23/

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.

Föreläsning 1: Intro till kursen och programmering

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse

Digitala elektroniksystem

Tentamen med lösningar IE Digital Design Måndag 23/

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15.

Laboration VHDL introduktion

Digital elektronik CL0090

IE1204/5 Digital Design typtenta

Rubriker: Product & Services Technology Solutions Market Solutions Silicon Devices Design Tools Intellectual Property Boards & Kits

INTRODUKTION TILL VIVADO

Tenta i Digitalteknik

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1

Försättsblad till skriftlig tentamen vid Linköpings universitet

IE1204/5 Digital Design typtenta

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler,

Lunds Universitet LTH Ingenjörshögskolan IDa1, IEa1 Helsingborg. Laboration nr 4 i digitala system ht-15. Ett sekvensnät. grupp. namn.

Föreläsning 1: Intro till kursen och programmering

Grafik. För enklare datorsystem

LABORATION TSEA22 DIGITALTEKNIK D

Digitalteknik syntes Arne Linde 2012

VHDL testbänk. Mall-programmets funktion. Låset öppnas när tangenten 1 trycks ned och sedan släpps. William Sandqvist

Digital Design IE1204

6. Minnen. Associativt minne

Tentamen i Digitalteknik, EITF65

Schemaunderlag för Programmering, grundkurs (TDDB18)

Introduktion till E-block och Flowcode

Objektorienterad Programmering (TDDC77)

Repetition TSIU05 Digitalteknik Di/EL. Michael Josefsson

LiTH Lab1: Asynkron seriell dataöverföring via optisk länk Laboration 1. Asynkron seriell dataöverföring via optisk länk

Grundläggande Datorteknik Digital- och datorteknik

Digitalteknik M. Programkurs 4 hp Switching Circuits and Logical Design TMEL53 Gäller från: 2017 VT. Fastställd av. Fastställandedatum

Föreläsningsanteckningar 2. Mikroprogrammering I

Digitalteknik syntes. Digitalteknik syntes Arne Linde 2012

Vad är en dator? Introduktion till datorer och nätverk. Pontus Haglund Institutionen för datavetenskap (IDA) 21 augusti 2018

(2B1560, 6B2911) HT08

Transkript:

Datorkonstruktion 1 Datorkonstruktion 2018, 8hp Anders Nilsson Anders.P.Nilsson@liu.se Mål: Ni ska i grupper om 3 teknologer konstruera en inbyggd dator. VGA-skärm FPGA-kort 1

Datorkonstruktion 2018, 8hp 2012 Datorteknik del 2, 4 hp (8 fö, 4 lab, 1 tenta) Digital konstruktion, 6 hp (5 fö, 1.5 lab, 1 projekt) 2013 Datorkonstruktion, 8 hp (12 fö, 4 lab, 1 projekt) 2hp 6hp 3 Planering 2018, vt 1 Vecka Föreläsning Laboration Projekt (vt2) 3 1. Intro 2. Mikroprog I 3. Mikroprog II 4 4. Pipelining 5. Cache 5 6. Minnen +Bussar 7. Grafik +Proj 6 8. VHDL I 9. VHDL II 10. VHDL III 7 11. Reserv 1 (Grafik+Proj) 1. Mikroprog 2. Pipelining 3. UART (VHDL) 8 12. Reserv 2 4. VGA (VHDL) Bilda 3-grupp klar fredag 1600 Kravspec Kravspec inlämn. mån 1600 klar fredag 1600 9 Designskiss inlämn. mån 1600 10 Designskiss klar mån 1600 4 2

Planering 2018, vt 2 Vecka Händelse Hållpunkt Projekt 11 Tenta-P vt1 12 Konstruktion 13 Påsk Konstruktion 14 Omtenta-P ht2 15 Milstolpe fredag Konstruktion 16 Konstruktion 17 Konstruktion 18 Valborg+1Maj Konstruktion 19 Kristi.H Konstruktion 20 Examination 5 Föreläsningar 1 Intro 2 Mikroprogrammering I 3 Mikroprogrammering II 4 Pipelining 5 Cache 6 Minnen+Bussar 7 Grafik+Proj 8 VHDL I 9 VHDL II 10 VHDL III 11 Reserv 1 (Grafik+Proj) 12 Reserv 2 Alla OH på hemsidan Föreläsningsanteckningar CPU VHDL är språket i projektet. 6 3

Labbar Alla lab-pm på hemsidan 1 Mikroprogrammering (4 tim) Kan göras på egen hand i labsal och endast redovisas på labben 2 Pipelining (4 tim) Kan göras på egen hand i labsal och endast redovisas på labben 3 UART (seriell komm.) (4 tim) Kodning och simulering kan göras på egen hand. Syntetisering kräver FPGA-kort. 4 VGA (4 tim) Kodning och simulering kan göras på egen hand. Syntetisering kräver FPGA-kort. 7 Kurslitteratur-datorteknikdelen Michael Josefsson : Datorteknik D del 2 mikroprogrammering, pdf Patterson,Hennessy: Computer Organization and design pipelining, e-bok Olle Roos: Grundläggande datorteknik mikroprogrammering, låne-bok 8 4

Kurslitteratur-VHDL Kompendium i Digital konstruktion pdf Referens: pdf 9 Lite VHDL-känsla! 1) Problembeskrivning Jag vill bygga en synkronisering och enpulsare. När asynkron insignal går hög ( 0 -> 1) ska en synkroniserad utpuls produceras. Pulsens längd ska vara 1 CP. Inpulsen måste vara hög vid minst en klockflank. Tidsdiagram clk x w grunka clk x w 10 5

2) Lösning a) Synkvippa + tillståndsgraf 1(1) 0(0) 0 1 1(0) 0(0) b) Hårdvaruschema direkt & w x D y D z clk 11 entity grunka is port(x,clk: in std_logic; w: out std_logic); end entity grunka; 3) VHDL-kod för b) architecture firsttry of grunka is signal y,z:std_logic; begin w <= y and not z; -- kombinatoriken process(clk) begin if rising_edge(clk) then y <= x; -- synk-vippan z <= y; end if; end process; -- tillståndsvippan end architecture firsttry; 12 6

4) Simulering m ModelSim test_bench grunka clk x w clk x y z w 13 5) Syntetisering till Nexys3 FPGA (field programmable gate array) 18224 vippor 9112 LUTs (look up table)(64x1 RAM) 32 2kB blockram 32 18x18 multiplikatorer + 14 7

FPGA Spartan-6 LX16 Korskoppling många 6 64x1 LUT 1 8 st vippor 4 st 6-input LUT = lookup table Slice 2278 FPGA Spartan-6 LX16 Innehåller också 32 st block RAM 18kbit... 2kx8 1kx16 512x32 32 st DSP slices X 18 bits MUL adder 40 bitar Ack 40 bitar 8

Hur går det till? Skriv VHDL med emacs. Vi kör Linux. make lab.sim ModelSim hoppar igång make lab.bitgen synthesize = Booleska ekvationer translate = Luttar och vippor place = placera allting i slicarna route = koppla ihop allting make lab.prog filen design.bit programmerar FPGA-n 17 Vad kan man bygga? en grafikdator, som gör Game of Life CPU mem UART VGAmotor VGA start load USB-sladd (COMx) Ska innehålla: Dator (egen design) I/O (tangentbord, joystick, ) VGA Måste bli demonstrerbar 18 9

Vad har dom gjort förut? Tetris Drag race Snake 2048 Music Mania Mandelbrot Ritprogram Grafisk räknare Pong Pac-man Minesweeper Achtung die kurve! Breakout Frogger Othello Synth Bomberman Space invaders Asteroids Tron Counter Strike 2D GameBoy https://www.youtube.com/watch?v=hthvhjrpshe https://www.youtube.com/watch?v=ejhpcfiej40 19 Projektet börjar i vt2 Bilda en 3-grupp (fredag v6) Skriv en kravspecifikation. Vad ska er apparat göra? Vad ansluts den till? Skriv en designskiss. Hur ska ni konstruera er apparat? 20 10

Examination (v20) Demo av fungerande apparat (mot kravspec) Föredrag (15 min) Teknisk rapport 21 Vad behöver ni göra nu? Registrera er på kursen TSEA83 i studentportalen Anmäl er till laborationerna i Lisam 22 11