Programmerbara kretsar och VHDL. Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Relevanta dokument
Programmerbara kretsar och VHDL 1. Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik

Konstruktion av digitala system - VHDL

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

VHDL 1. Programmerbara kretsar

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik

Konstruktionsmetodik för sekvenskretsar

VHDL2. Sekvensnätsexemplet

VHDL2. Sekvensnätsexemplet

Design av mindre digitala system. Föreläsning Digitalteknik, TSEA52 Mattias Krysander Institutionen för systemteknik

Simulering med ModelSim En kort introduktion

Digitalteknik, fortsättningskurs Föreläsning VHDL Very High Speed Integrated Circuit Hardware Description Language

Angående buffer. clk clear >=1 =9?

std_logic & std_logic_vector

VHDL och laborationer i digitalteknik

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM

DESIGN AV SEKVENTIELL LOGIK

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL

DIGITALTEKNIK. Laboration D172

Digitala projekt Elektro- och informationsteknik

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna

Digital elektronik CL0090

L15 Introduktion modern digital design

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse

Tentamen i IE1204/5 Digital Design onsdagen den 5/

LABORATIONSINSTRUKTION LABORATION

Tentamen i IE1204/5 Digital Design onsdagen den 5/

LABORATION TSEA22 DIGITALTEKNIK D TSEA51 DIGITALTEKNIK Y. Konstruktion av sekvenskretsar med CPLD. Version: 2.2

Laboration D184. ELEKTRONIK Digitalteknik. Sekvensnät beskrivna med VHDL och realiserade med PLD

Programmerbar logik och VHDL. Föreläsning 1

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

Sekvensnät. William Sandqvist

KALKYLATOR LABORATION4. Laborationens syfte

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov)

Datorkonstruktion. Datorkonstruktion 2018, 8hp

KOMBINATORISKA FUNKTIONER...1

PARALLELL OCH SEKVENTIELL DATABEHANDLING. Innehåll

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.

Simulering med ModelSim En kort introduktion

VHDL3. Angående buffer

LABORATION DATORKONSTRUKTION TSEA83 UART. Namn och personnummer. Version: (OS)

LABORATION TSEA22 DIGITALTEKNIK D

Digital elektronik CL0090

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

Försättsblad till skriftlig tentamen vid Linköpings universitet

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik Mattias Krysander Institutionen för systemteknik

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler,

Tentamen i IE1204/5 Digital Design måndagen den 15/

Chalmers Tekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 1 - VHDL

Omtentamen IE Digital Design Måndag 14/

IE1205 Digital Design: F11: Programmerbar Logik, VHDL för Sekvensnät

TSEA22 Digitalteknik 2019!

Digital Konstruktion TSEA43. Ingemar Ragnemalm 2001, Olle Seger 2003-,

Digital- och datorteknik, , Per Larsson-Edefors Sida 1

Digitalteknik syntes Arne Linde 2012

IE1204/IE1205 Digital Design

Sekvensnät i VHDL del 2

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl

VHDL testbänk. Mall-programmets funktion. Låset öppnas när tangenten 1 trycks ned och sedan släpps. William Sandqvist

Tentamen med lösningar för IE1204/5 Digital Design Torsdag 15/

Programmerbar logik och VHDL. Föreläsning 4

IE1204/5 Digital Design typtenta

LABORATIONSINSTRUKTION

Tentamen i IE1204/5 Digital Design Torsdag 29/

LAB VHDL-programmering

Kombinationskretsar. Föreläsning 4 Digitalteknik Mattias Krysander Institutionen för systemteknik

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

Lösningsföslag till Exempel på tentamensuppgifter i Digitalteknik I

IE1204/5 Digital Design typtenta

LABORATIONSINSTRUKTION LABORATION. Räknare och skiftregister med sekvensiell VHDL. LAB NR Ver KURS. Digitalteknik INNEHÅLL. 1.

LABORATION TSEA22 DIGITALTEKNIK D

Tentamen IE Digital Design Måndag 23/

Översikt, kursinnehåll

Digital Design IE1204

Omtentamen med lösningar i IE1204/5 Digital Design Fredag 10/

Tentamen i Digitala system - EDI610 15hp varav denna tentamen 4,5hp

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS v 2.1

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1

Tenta i Digitalteknik

Tenta i Digitalteknik

F5 Introduktion till digitalteknik

Digital Design IE1204

Tentamen i Digitalteknik 5p

IE1205 Digital Design: F9: Synkrona tillståndsautomater

Tentamen i IE Digital Design Fredag 21/

Tentamen i Digitalteknik, EITF65

TSEA22 Digitalteknik 2019!

Tenta i Digitalteknik

Tentamen i Digitalteknik TSEA22

Tentamen med lösningar i IE1204/5 Digital Design Torsdag 29/

Tentamen IE Digital Design Fredag 15/

Laboration VHDL introduktion

Laboration D151. Kombinatoriska kretsar, HCMOS. Namn: Datum: Epostadr: Kurs:

Omtentamen med lösningar IE Digital Design Måndag 14/

Strukturell VHDL. Grundläggande kunskaper om. och TESTBÄDD. UMEÅ UNIVERSITET Tillämpad fysik och elektronik Lars Wållberg ver 1.

INTRODUKTION TILL VIVADO

Transkript:

Programmerbara kretsar och VHDL Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

3 Dagens föreläsning Programmerbara kretsar Kombinationskretsar i VHDL with-select-when, when-else Sekvenskretsar i VHDL process, case-when, if-then-else In-/ut-signaler, datatyper, mm Räknare i VHDL Exempel Blockschema -> VHDL, kodstandard, simulering, programmering, verifiering Lab 4

4 Programmerbara kretsar PLD = programmable logic device CPLD = complex PLD, i princip flera PLD-er på ett chip ex: 108 vippor + 540 produkttermer FPGA = field programmable gate array 5 000 000 vippor 2 000 000 Look-up-tables (LUT) 500 Mb RAM Digital Signal Processing (DSP) Processor

5 21/09/16! 11! CPLD - CPLD!E!Complex!Programmable!Logic!Device! konstruktion Grundblocket i en CPLD är oftast en uppsättning av ORgrindar vars ingångar är kopplade till ANDgrindar Grundblocket i en CPLD består oftast av ett AND-OR-nät AND-grindarnas ingångar är programmerbara Ingångarna till ANDgrindarna är programmerbara Kallas Programmable Logic Array (PLA) Kallas Programmable Logic Array (PLA) Macrocell

CPLD Xilinx 9572 - blockschema 9

VHDL! VHDL VHDL Ett programspråk =Very High Speed för att: Integrated Circuit Hardware Description Language Ett hårdvarubeskrivande programspråk för att: Syntetisera Syntetisera (Xilinx) (Xilinx) Simulera Simulera (ModelSim) (ModelSim) 14 10 Hårdvara Hårdvara Kurvor Kurvor

11 Varför VHDL? Hantera komplexitet VHDL-koden kan simuleras Beskrivning på flera olika abstraktionsnivåer Ökad produktivitet Snabbare än schemaritning Återanvändbar kod Modernt programmeringsspråk Rikt, kraftfullt Parallellt, starkt typat, overloading Ej objektorienterat 1) a+b 2) Kedja av heladderare 3) Bygg adderare med NANDgrindar

12 VHDL nackdelar? Svårt att lära sig? Delmängd för syntes 1-2 dagar! Avancerade simuleringar 1-2 månader Nytt sätt att tänka Lätt att hamna i mjukvarutänkande! FPGA-n, CPLD-n är inte en processor för VHDL VHDL är inte sekvensiellt utan parallellt Tilldelning, variabler betyder inte samma sak som i andra prog.språk Gör så här: Tänk hårdvara och gör ett blockschema Översätt till VHDL

13 Hur ser ett VHDL-program ut? entity namn1 is -- beskrivning av in- och utgångar end entity namn1; Gränssnitt mot omvärlden architecture namn2 of namn1 is -- beskrivning av interna signaler begin Innehåll -- beskrivning av funktion end architecture namn2; VHDL är inte case sensitive, små eller stora bokstäver spelar ingen roll, ej heller mellanslag.

14 VHDL för kombinatoriska kretsar a b & 1 y x 1 c entity knet is port(a,b: in std_logic; c: out std_logic); end entity knet; architecture firsttry of knet is signal x,y : std_logic; begin c <= not (x or y); x <= a and b; y <= a or b; end architecture firsttry; Parallellt exekverande satser. Om a ändras så körs x <= a and b och y <= a or b, vilket gör att c <= x nor y körs. Ordningen spelar ingen roll.

15 Vad betyder ett VHDL-program? Syntetisering (Xilinx) x <= a and b; betyder att en OCH-grind kopplas in mellan trådarna a, b och x Endast en tilldelning på x tillåten. Simulering (ModelSim) x <= a and b; är en parallellt exekverande sats körs om a eller b ändras Än så länge är ordningen mellan satserna oviktig Programmera aldrig i VHDL! Tänk hårdvara => översätt till VHDL

16 VHDL för kombinatoriska kretsar Kombinationskretsar implementeras med vanlig signaltilldelning c <= a and b; with-select-when är en mux (använt för minne i lab 2). when-else är en generaliserad mux.

17 En multiplexer entity mux is port( d: in std_logic_vector(0 to 3); s: in std_logic_vector (1 downto 0); y: out std_logic); end entity mux; d(0) d(1) d(2) d(3) y s(1) s(0)

18 Multiplexern, forts VHDL har en sats som precis motsvarar en mux: architecture behavior1 of mux is begin with s select y <= d(0) when 00, d(1) when 01, d(2) when 10, d(3) when others; end architecture behavior1; Lägg märke till: det finns enn <= i satsen. enn rad är sann d(0) d(1) d(2) d(3) s(1) s(0) y

19 with-select-when Är en parallell sats, concurrent statement Endast utanför process with (styrsignal) select (utsignal) <= (uttryck 1) when (signalvärde 1), (uttryck 2) when (signalvärde 2),... (uttryck n-1) when (signalvärde n-1), (uttryck n) when others; OBS: samtliga värden på styrsignal måste täckas!

20 Multiplexern, forts architecture behavior2 of mux is begin y <= d(0) when s = 00 else d(1) when s = 01 else d(2) when s = 10 else d(3); end architecture behavior2; d(0) d(1) d(2) d(3) s(1) s(0) y

when-else 21 Är en parallell sats, concurrent statement Endast utanför process signal <= uttryck 1 when villkor 1 else Lägg märke till: uttryck 2 when villkor 2 else... uttryck n-1 when villkor n-1 else uttryck n; Det finns enn <= i satsen. Noll eller flera villkor är sanna s = u 1 v 1 + u 2 v 1 v 2 + + u n-1 v 1 v 2 v n-2 v n-1 + u n v 1 v 2 v n-2 v n-1 Både with-select-when och when-else kan uttrycka vilken Boolesk funktion som helst!

22 Exempel u = 1 omm x = (x(2), x(1), x(0)) innehåller 2 eller 3 ettor. signal x: std_logic_vector(2 downto 0); signal u: std_logic; -- tavla x 2 u

23 Vad har vi så långt? entity beskriver gränssnittet architecture beskriver innehållet Mellan begin och end har vi parallella satser. vanlig signaltilldelning c <= a and b; with-select-when är en mux. when-else är en generaliserad mux. Ovanstående används för kombinatorik utanför process-satsen

24 VHDL för sekvenskretsar process-satsen case-when if-then-else Endast inuti process-sats!

25 process Process-satsen exekveras sekventiellt. Här exempel på D-vippa: entity de is port(d,clk: in STD_LOGIC; q: out STD_LOGIC); end de; architecture d_vippa of de is begin process(clk) begin if rising_edge(clk) then q <= d; end if; end process; end d_vippa; Det gamla värdet på q ligger kvar om ett nytt ej specas. Processen exekveras när clk ändras i känslighetslistan q uppdateras på positiv clk-flank

27 Överraskande konsekvenser av processer process(clk) begin if rising_edge(clk) then y <= x; z <= y; end if; end process; Låt z = y = 0 Sätt x = 1 och klocka en gång. Då blir väl z = y = 1?

case-when 29 Endast inuti process Måste beskriva vad som händer för alla värden på styrsignal Motsvarar with-select-when case (styrsignal) is when (värde 1) => (sats 1); when (värde 2) => (sats 2);... when (värde n-1) => (sats n-1); when others end case; => (sats n);

30 if-then-else if (uttryck 1) then (sats 1) elsif (uttryck 2) then (sats 2) elsif (uttryck n-1) then (sats n-1) else Endast inuti process Motsvarar when-else Observera stavning på elsif (sats n) end if;

31 Exempel Bygg en sekvenskrets som ger utsignalen 1 i samma klockintervall som var tredje 1:a. x/u 0/0 0/0 0/0 1/0 1/0 00 01 11 start 1/1 VHDL-beskrivning som liknar grafen.

32 Sekvenskretsar Utsignal Gör kombinatorik av detta x/u 0/0 0/0 0/0 1/0 1/0 00 01 11 start 1/1 Tillståndsuppdatering Gör en process(clk) av detta.

33 Sekvenskrets entity skrets is port(x, clk: in std_logic; u: out std_logic); end skrets; architecture graf of skrets is signal q: std_logic_vector(1 downto 0); begin -- q+ = f(q,x): tillståndsuppdatering x/u 0/0 -- u = g(q,x) : utsignal 1/0 1/0 end graf; 00 01 11 0/0 0/0 start 1/1

34 Tillståndsuppdatering -- q+ = f(q,x): tillståndsuppdatering process(clk) begin if rising_edge(clk)then case q is when 00 => if x= 1 then q <= 01 ; else q <= 00 ; end if; when 01 => if x= 1 then q <= 11 ; else q <= 01 ; end if; when 11 => if x= 1 then q <= 00 ; else q <= 11 ; end if; when others => q <= 00 ; end case; end if; end process; x/u 0/0 0/0 0/0 00 1/0 01 1/0 11 start 1/1

35 Utsignal K-krets utanför processen u <= 1 when q= 11 and x= 1 else 0 ; -- alternativt u <= x and q(1) and q(0); x/u 0/0 0/0 0/0 1/0 1/0 00 01 11 start 1/1

36 entity skrets is port(x, clk: in std_logic; u: out std_logic); end skrets; architecture graf of skrets is signal q: std_logic_vector(1 downto 0); begin -- q+ = f(q,x): tillståndsuppdatering process(clk) begin if rising_edge(clk)then case q is when 00 => if x= 1 then q <= 01 ; else q <= 00 ; end if; when 01 => if x= 1 then q <= 11 ; else q <= 01 ; end if; when 11 => if x= 1 then q <= 00 ; else q <= 00 ; end if; when others => q <= 00 ; end case; end if; end process; -- u = g(q,x) : utsignal u <= x and q(1) and q(0); end graf; Komplett kod

VHDL beskriver hårdvara! 1. En VHDL-modul består av två delar a) entity, som beskriver gränssnittet b) architecture, som beskriver innehållet 2. För att göra kombinatorik används a) Booleska satser: z <= x and y; b) with-select-when-satser c) when-else-satser 3. För att göra sekvensnät används (en eller flera) process(clk)-satser a) enn if rising_edge(clk) end if; b) Booleska satser: z <= x and y; c) case-when-satser d) if-then-else-satser Samtidiga satser VL får vippa på sig Alla klockas samtidigt 37

38 In/utsignaler OBS, om en utsignal också används inuti nätet, så ska den deklareras som buffer. in & out & buffer in & inout in & out

40 std_logic signal reset: std_logic; Reset kan nu anta följande värden: simulering ej i denna kurs U : Uninitialized X : Forcing Unknown 0 : Forcing 0 1 : Forcing 1 Z : High impedance W : Weak Unknown L : Weak 0 H : Weak 1 - : Don t care tristate t ex för att speca sanningstabell

41 Numeric_std use IEEE.NUMERIC_STD.ALL - lägger till paket signal q: unsigned(3 downto 0); -- 4 bit ctr... q <= q + 1; Notera hur värdet av if q = 10... heltal, bitvektor samt q <= 0011 ; bit anges q(0) <= 1 ; Dvs vi kan hantera q både som en boolesk vektor och som ett tal på intervallet [0,15];

42 Vi rekommenderar Använd endast std_logic och std_logic_vector Vill ni räkna inkludera NUMERIC_STD -biblioteket Skippa integer. Går ej att indexera på bit-nivå. Skippa bit. Tristate och don t care saknas.

4-bits dekadräknare med synkron clear 43 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity counter is port(clk, clear: in std_logic; q: out std_logic_vector(3 downto 0)); end counter; architecture simple of counter is signal q_int: unsigned (3 downto 0)); begin process(clk) begin if rising_edge(clk) then if clear= 1 then q_int <= 0000 ; elsif q_int = 9 then q_int <= to_unsigned(0,4); else q_int <= q_int + 1; end if; end if; end process; q <= std_logic_vector(q_int); end simple; +1 clk clk 0 0 1 4 q 1 q clear 4 clear =9?

44 Typkonvertering Bitvektorer med ett specificerat antal bitar V: std_logic_vector(3 downto 0) unsigned(v) std_logic_vector(u) U : unsigned(3 downto 0) to_integer(u) to_unsigned(i,4) Heltal I : integer

45 Konkatenering signal bus: std_logic_vector (1 downto 0); signal a,b: std_logic; bus <= a & b;

4-bitsadderare 47 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use NUMERIC_STD.ALL; entity adder is port(a,b: in UNSIGNED(3 downto 0); s: out UNSIGNED(4 downto 0)); end adder; a b architecture simple of adder is begin -- zero extension s <= ( 0 & a) + ( 0 & b); end simple; 4 4 Adderare 5 s = a + b

48 Asynkron reset process(clk,reset) begin if reset= 1 then q <= 0 ; elsif rising_edge(clk) then q <= q and x; end if; end process; Synkron reset process(clk) begin if rising_edge(clk) then if reset= 1 then q <= 0 ; else q <= q and x; end if; end if; end process; Känslighetslistan: clk ska alltid vara med Ev. asynkrona insignaler till vippa/räknare/register Andra signaler ska ej vara med.

räknare komparator = Logiskt blockschema => VHDL 49 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity pulsdetektor is port( clk, x : in std_logic; reset : in std_logic; L : in unsigned(3 downto 0); u : out std_logic); end pulsdetektor; x 1 CLR k & u architecture Behavioral of pulsdetektor is signal q : unsigned(3 downto 0); signal CLR, CE, k: std_logic; begin -- hela vår konstruktion reset clk CE reset clk q 4 L 4 L end Behavioral;

räknare komparator = library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity pulsdetektor is... end pulsdetektor; architecture Behavioral of pulsdetektor is... begin -- insignaler till räknare CE <= x; CLR <= not x; Blockschema ->VHDL Varje block har motsvarande kod. Överensstämmande signalnamn i blockschema och kod. 52 -- räknare ctr16: process(clk,reset)... end process ctr16; x 1 CLR CE k & u -- komparator k <= '1' when L = q else '0'; reset clk reset clk q 4 L 4 L -- utsignal u <= CLR and k; end Behavioral;

Simulering i ModelSim 53 Utdrag ur fil för att definiera insignaler och köra en simulering. # sim.do... # clk Periodtid 100 ns force -freeze sim:/pulsdetektor/clk 1 0, 0 50 -r 100 # reset force -freeze sim:/pulsdetektor/reset 0 0, 1 50, 0 90 # x force -freeze sim:/pulsdetektor/x 0 0, 1 105, 0 505, 1 705, 0 1005 # L force -freeze sim:/pulsdetektor/l 0011 0 run 1300 Starta simulering i transcriptfönstret VSIM > do sim.do

ModelSim 54

56 Slutsatser Vi ritar logiska blockscheman först! Vi har samma struktur på koden som på blockschemat! Alltså: små process-satser som precis motsvarar ett block. Vi har bra koll på mängden hårdvara Använda resurser i CPLD XC9536: Använda produkttermer L3-L0, x, u, clk, reset Beräkning av 4 tillstånd + 1 utsignal 4 D-vippor i 4-bitsräknaren L3-L0, q3-q0, x

Får det plats? functional block inputs! 58 produkttermer! 5 AND/vippa pinnar! Vad kan ta slut? Pinnar Vippor Produkttermer vippor! makrocell!

59 Lab 4 Bland annat konstruera ett digitalt tidtagarur. Olika klockor Systemklocka 8 MHz Klocka som styr uppdateringsfrekvens på display (variabel frekvens) Klocka som styr tidräkning 100 Hz Synkronisera klockpulser till systemklockan: synkronisering + enpulsning

60 Inför Lab 4 Obligatorisk lektion i ModelSim. Det finns ett antal valfria uppgifter. Om ni vill göra VGA-uppgift (mer omfattande) så kontakta: olov.andersson@liu.se Förberedelseuppgifter: Logiska blockscheman till uppgifterna ModelSim-simulering av två kaskadkopplade BCD-räknare för sekundvisning. Ska redovisas vid laborationens start. ModelSim finns installerat på datorerna i Freja (och i Grinden).

61 Examination Vid examination ska logiskt blockschema, VHDL-kod samt korrekt fungerande krets uppvisas. Logiskt blockschema Block ska bestå av väldefinierad hårdvara: VHDL-kod räknare, register, enpulsare, synkroniseringsvippor, sekvenskretsar (med tillståndsdiagram) MUX, DMUX, adderare, komparatorer, kombinatoriska kretsar (minne, Booleska funktioner) Varje block ska motsvara ett kodavsnitt, t ex en processsats/sekvenskrets Överensstämmande signalnamn i blockschema och kod.

Digitalteknik Mattias Krysander www.liu.se