Digitalteknik syntes. Digitalteknik syntes Arne Linde 2012

Storlek: px
Starta visningen från sidan:

Download "Digitalteknik syntes. Digitalteknik syntes Arne Linde 2012"

Transkript

1 1 Digitalteknik syntes 2012

2 2 Introduktion Terminsregistrera er - snarast Boka labb tider PingPong (Öppnar 00:01) (Individuella labb tider) Köpa kursmaterial - Cremona

3 3 Upplägg LV 1 Introduktion, digitalteknik, VHDL LV 2 Teknologier, VHDL+lab LV 3 Sekvensnät Laboration 2 VHDL Minimering av sekvensnät LV 4 Asynkrona sekvensnät, LV 5 Syntes, ASIC, lab Sekvensnät LV 6 Testning, laboration Asynkrona nät LV 7 Sammanfattning

4 4 Personal Arne Linde Föreläsare Examinator Lars Kollberg Laborationschef Övningsledare: Sven Knutson

5 5 Jag har dyslexi

6 LV 1 6

7 7 Laborationer PM LV Rubrik Lab Inledande VHDL laboration. Simulering. Lab VHDL Trafikräknare. Simulering. Lab Synkrona sekvensnät och hasarder Lab Asynkrona sekvensnät.

8 9 Rekommenderad litteratur 2008 års bok Pris ca 500kr finns att köpa på Cremona

9 10 Att läsa emedan ni funderar på kursbok Grundläggande digital- och datorteknik Del 1 Digital teknik Switchnätalgebra Räknestugan 1 (hemarbete) 2.23,2.28, 2.31, 2.40, 2.42

10 11 Varför studera logisk design Är baskunskap för delarna i ett datorsystem. Bygga stora system av enkla byggblock Ger förståelse för hur en dator fungerar Parallellismen i maskinvara ger en grundförståelse för parallell datorbehandling. Ett effektivt sätt att implementera system.

11 12 Vad lär vi oss i denna kurs Om teknologier och teknikval Grunderna i VHDL Fördjupning i digitalteknik Tid i digitala system (Asynkrona sekvensnät, Hasarder mm) Konstruktionsmetodik (Design för test, testning, syntes mm)

12 13 Varför VHDL?

13 14 Applikationer för logik design Konventionella datorsystem Nätverk och kommunikation Inbyggda system Vetenskaplig utrustning Världen är mycket större än PC-maskiner.

14 15 Figure 1.1. A silicon wafer (courtesy of Altera Corp.).

15 16

16 17 Standard Chips Kretsar som realiserar användbara funktioner Oftast relativt små kretsar (några 1000 transistorer) Många kretsar för att realisera en konstruktion Populära fram till tidigt 80-tal Kräver plats (printed circuit board, PCB)

17 Group of 8 logic cells Memory block Interconnection wires Programerbar logik Har ersatt Standard Chips Många olika typer finns. 18 Figure 1.2. A field-programmable gate array chip (courtesy of Altera Corp.).

18 Design processen

19 20 Aspekter på en konstruktion Utvecklings buget Effekt buget Plats buget Styckekostnad KRAV

20 21 Standard dator Mikrocontroller Signalprocessor Design val Programmerbar logik Färdiga standard kretsar ASIC Kombination

21 22 Prestanda Standard dator Risk Dator Rekonfigurerbarhet Utv-kosstnad 10 0 Testbarhet St-kostnad Plats Effekt

22 23 Mikrocontroller, DSP Risk Dator DSP Prestanda Rekonfigurerbarhet Prestanda 100 Utv-kosstnad 10 0 Testbarhet 90 Risk Rekonfigurerbarhet St-kostnad Plats tv-kosstnad 10 0 Testbarhet Dator Mickocontroller Effekt St-kostnad Plats Effekt

23 24 Prestanda FPGA - ASIC Risk 60 Rekonfigurerbarhet tv-kosstnad DSP FPGA Testbarhet Prestanda Risk Rekonfigurerbarhet St-kostnad Plats Effekt Utv-kosstnad 10 0 Testbarhet DSP FPGA ASIC St-kostnad Plats Effekt

24 25 Dator FPGA Std-krets Prestanda Utv-kosstnad Risk Dator FPGA StdKretsar Rekonfigurerbarhet Testbarhet St-kostnad Plats Effekt

25 26 Design concept Initial design Simulation Redesign Design correct? No Yes Successful design Figure 1.4. The basic design loop.

26 En dators struktur 27

27 IC-kretsens strukturen 28

28 29 Hur beskriver vi en elektronisk konstruktion Schema Komponenter och nät används sällan idag Analågt och digitalt Nätlista EDIF ett mellanformat Hårdvaru beskrivande språk VHDL Verilog System Verilog Sysem C mf

29 VHDL grunder Ett system delas in i två delar. Mot omgivningen: interface. Hur systemet kopplas till andra system och omgivningen. Intern funktionell beskrivning av konstruktionen. I VHDL En entity ansluter till den externa omgivningen. En architecture beskriver den interna funktionen. Entity Architecture

30 VHDL grunder Exempel: Simpel AND grind X Y & f=x Y -- AND gate LIBRARY ieee; USE ieee.std_logic_1164.all; Bibliotek med inbyggda funktioner X Y Och (and) X Y f X Y

31 VHDL grunder Exempel: Simpel AND grind - Entity -- AND gate LIBRARY ieee; USE ieee.std_logic_1164.all; X Y X Y 32 ENTITY and2 IS Entity name PORT(x:IN STD_LOGIC; y:in STD LOGIC; f:out STD_LOGIC); END and2;

32 VHDL grunder Exempel: Simpel AND grind Architecture X Y X Y 33 Bibliotek med inbyggda funktioner Entity -- AND gate LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY and2 IS PORT(x:IN STD_LOGIC; y:in STD LOGIC; f:out STD_LOGIC); END and2; Entity name Architecture ARCHITECTURE arch_and2 OF and2 IS BEGIN Entity name again f<=x AND y; END arch_and2; Architecture name

33 34 Grindsymboler ARCHITECTURE arch_not OF not IS BEGIN f<= NOT x; X 1 X END arch_not; Inverterare (not) X f X X ARCHITECTURE arch_or2 OF or2 IS BEGIN f<=x OR y; END arch_or2; X Y 1 Eller (or) X Y X Y f f=x+y X+Y

34 35 X Y X Y X Y 1 NOR f=(x+y) f f X Y f X Y & NAND f=(x Y) VHDL f <= x nor y; VHDL f <= x nand y; X Y f X f=x Y X f=x Y =1 =1 Y Y XOR X f Y VHDL f <= x xor y; X Y f X Y f f=x Y eller (X Y) VHDL f <= x xnor y; X Y f

35 36 VHDL används för: I första hand simulering. Även för specifikation. Den kan i vissa fall syntetiseras till maskinvara.

36 VHDL grunder forts. Exempel Entity LIBRARY ieee; USE ieee.std_logic_1164.all; Om vi titta på koden från ett annat perspektiv så kan vi se två typer av kod Parallell kod (Concurrent code) ENTITY and_or IS PORT( a:in STD_LOGIC; b:in STD_LOGIC; c:in STD_LOGIC; y_conc:out STD_LOGIC; y_seq:out STD_LOGIC); END and_or; Allt inträffar vid samma tidpunkt. Sekventiell kod (Sequential code) Koden intrepetiteras i sekvens, en rad i taget. Koden måste skrivas i en process Hela processen utförs parallellt med resterande kod.

37 VHDL grunder forts. Exempel: Architecture med Interna signaler LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY and_or IS PORT( STD_LOGIC); END and_or; a:in STD_LOGIC; b:in STD_LOGIC; c:in STD_LOGIC; y_conc:out STD_LOGIC; y_seq:out ARCHITECTURE arch_and_or OF and_or IS SIGNAL x_conc:std_logic; SIGNAL x_seq:std_logic;

38 VHDL grunder forts. Exempel: Parallell kod BEGIN x_conc <= a AND b; y_conc <= x_conc OR c; LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY and_or IS PORT( STD_LOGIC); END and_or; a:in STD_LOGIC; b:in STD_LOGIC; c:in STD_LOGIC; y_conc:out STD_LOGIC; y_seq:out ARCHITECTURE arch_and_or OF and_or IS SIGNAL x_conc:std_logic; SIGNAL x_seq:std_logic;

39 Process namn VHDL grunder forts. Exempel: Process Sensitivety list De signaler som triggar (aktiverar) seq:process(a,b,c) processen BEGIN x_seq <= a AND b; y_seq <= x_seq OR c; END PROCESS seq; LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY and_or IS PORT( STD_LOGIC); END and_or; a:in STD_LOGIC; b:in STD_LOGIC; c:in STD_LOGIC; y_conc:out STD_LOGIC; y_seq:out ARCHITECTURE arch_and_or OF and_or IS SIGNAL x_conc:std_logic; SIGNAL x_seq:std_logic; BEGIN x_conc <= a AND b; y_conc <= x_conc OR c; END arch_and_or; Sekventiell kod

40 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY and_or IS PORT( a:in STD_LOGIC; b:in STD_LOGIC; c:in STD_LOGIC; y_conc:out STD_LOGIC; y_seq:out STD_LOGIC); END and_or; ARCHITECTURE arch_and_or OF and_or IS SIGNAL x_conc:std_logic; SIGNAL x_seq:std_logic; BEGIN x_conc <= a AND b; y_conc <= x_conc OR c; seq:process(a,b,c,x_seq) BEGIN x_seq <= a AND b; y_seq <= x_seq OR c; END PROCESS seq; END arch_and_or; Fix 1 En DÅLIG metod! Varför?

41 x_seq värdet uppdateras omelebart och används direkt i OR satsen. VHDL Fix 2, rätt! Variabel, lokal för processen, ej observerbar utanför processen. seq:process(a,b,c) VARIABLE x_seq:std_logic; BEGIN x_seq := a AND b; y_seq <= x_seq OR c; END PROCESS seq; END arch_and_or; Variabel tilldelning Nya värdet av x_seq används

42 43 Figure 1.6. A printed circuit board.

43 Design flöda för en logisk krets.

44 45 Design concept Initial design Simulation Redesign Design correct? No Yes Successful design Figure 1.4. The basic design loop.

45 46 Implementation Build prototype Testing Modify prototype Correct? No Yes Minor errors? Yes No Finished PCB Go to A, B, C, or D in Figure 1.7 Figure 1.8. Completion of PCB development.

46 47 Att repetera Switchnätalgebra Boolesk algebra Grindsymboler och grindnät Karnaughdiagram

47 48 An algebraic structure consists of a set of elements B binary operations { +, } and a unary operation { } such that the following axioms hold: 1. the set B contains at least two elements: a, b 2. closure: a + b is in B a b is in B 3. commutativity: a + b = b + a a b = b a 4. associativity: a + (b + c) = (a + b) + c a (b c) = (a b) c 5. identity: a + 0 = a a 1 = a 6. distributivity: a + (b c) = (a + b) (a + c) a (b + c) = (a b) + (a c) 7. complementarity: a + a = 1 a a = 0

48 49 identity 1. X + 0 = X 1D. X 1 = X null 2. X + 1 = 1 2D. X 0 = 0 idempotency: 3. X + X = X 3D. X X = X involution: 4. (X ) = X complementarity: 5. X + X = 1 5D. X X = 0 commutativity: 6. X + Y = Y + X 6D. X Y = Y X associativity: 7. (X + Y) + Z = X + (Y + Z) 7D. (X Y) Z = X (Y Z)

49 50 distributivity: 8. X (Y + Z) = (X Y) + (X Z) 8D. X + (Y Z) = (X + Y) (X + Z) uniting: 9. X Y + X Y = X 9D. (X + Y) (X + Y ) = X absorption: 10. X + X Y = X 10D. X (X + Y) = X 11. (X + Y ) Y = X Y 11D. (X Y ) + Y = X + Y factoring: 12. (X + Y) (X + Z) = 12D. X Y + X Z = X Z + X Y (X + Z) (X + Y) concensus: 13. (X Y) + (Y Z) + (X Z) = 13D. (X + Y) (Y + Z) (X + Z) = X Y + X Z (X + Y) (X + Z)

50 51 de Morgan s: 14. (X + Y +...) = X Y... 14D. (X Y...) = X + Y +... generalized de Morgan s: 15. f (X 1,X 2,...,X n,0,1,+, ) = f(x 1,X 2,...,X n,1,0,,+) establishes relationship between and +

51 52 Proving theorems (perfect induction) Using perfect induction (complete truth table): e.g., de Morgan s: (X + Y) = X Y NOR is equivalent to AND with inputs complemented X Y X Y (X + Y) X Y (X Y) = X + Y NAND is equivalent to OR with inputs complemented X Y X Y (X Y) X + Y

52 From Boolean expressions to logic gates More than one way to map expressions to gates 53 e.g., Z = A B (C + D) = (A (B (C + D))) T2 T1 F<= not A and (not B and ( C or D ))); use of 3-input gate A Z A B T1 B Z C D T2 C D

53 54 Waveform view of logic functions Just a sideways truth table but note how edges don t line up exactly it takes time for a gate to switch its output! time change in Y takes time to "propagate" through gates

54 Choosing different realizations of a function 55 A B C Z two-level realization (we don t count NOT gates) multi-level realization (gates with fewer inputs) XOR gate (easier to draw but costlier to build)

55 56 Karnaughdiagram Kan användas för fyra variabler (med visst besvär upp till sex) yz wx W XY Z + W XYZ = W XZ

56 57 Sammanfattning Prestanda Rekonfigurerbarhet Testbarhet Plats Effekt St-kostnad Utv-kostnad Risk Dator Mickocontroller Prestanda Rekonfigurerbarhet Testbarhet Plats Effekt St-kostnad Utv-kostnad Risk DSP FPGA Prestanda Rekonfigurerbarhet Testbarhet Plats St-kostnad Utv-kostnad Risk ASIC StdKretsar

57 58 VHDL entity architecture Parallell kod Sekventiell PROCESS Sekventiell Sista signal tilldelning gäller! (<=) Tilldelningen sker när vi lämnar PROCESS. Variabel Direkt (:=) Logik NOT, AND, OR, NAND, NOR, XOR, XNOR Alla har samma prioritet så gödsla med parenteser.

58 59 Att repetera Booleska funktioner Grindar Karnaughdiagram Normalformer (mintermer, maxtermer)

59 Att Göra! Registrera er! Köp kursbok (Cremona/DC) Boka labbpass för labb 1. (labbpass 1-4 öppnas i natt) Hämta labb-pm, förbered hemuppgifter. (Skall vara utförda innan lab.) (Gör inlämningsuppgiften.) Repetera och gör hemuppgifterna (de som ni tycker är svåra) Använd tiden i räknestugan för att få hjälp, labbförberedelser och inlämningar.

Digitalteknik syntes Arne Linde 2012

Digitalteknik syntes Arne Linde 2012 Digitalteknik, fortsättningskurs Föreläsning 3 Kombinatoriska nät 202 VHDL repetition + Strukturell VHDL Lite repetition + Karnaughdiagram(4-6var), flera utgångar + Quine-McCluskey + intro tid 2 Entity

Läs mer

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik

Programmerbara kretsar och VHDL 2. Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik Programmerbara kretsar och VHDL 2 Föreläsning 10 Digitalteknik, TSEA22 Oscar Gustafsson Institutionen för systemteknik 2 Dagens föreläsning Programmerbara kretsar igen Mer om processer Egna typer Använda

Läs mer

L15 Introduktion modern digital design

L15 Introduktion modern digital design L15 Introduktion modern digital design Upplägg LP2 F15 Introduktion till modern digital design F16 Kombinatoriska nät i VHDL F17 Sekvensnät i VHDL F18 Gästföreläsning (Advenica, fortsättningskurser) F19

Läs mer

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design onsdagen den 5/ Tentamen i IE1204/5 Digital Design onsdagen den 5/6 2013 9.00-13.00 Tentamensfrågor med lösningsförslag Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista

Läs mer

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM

FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM FÖRELÄSNING 8 INTRODUKTION TILL DESIGN AV DIGITALA ELEKTRONIKSYSTEM Innehåll Designflöde Översikt av integrerade kretsar Motivation Hardware Description Language CAD-verktyg 1 DESIGNFLÖDE FÖR DIGITALA

Läs mer

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov)

Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov) 7HQWDPHQL.XQGDQSDVVDGHNUHWVDUI U(P Datum: 991012 Tid: 8.00-13.00 Lokal: E138 Hjälpmedel: Appendix A. VHDL-syntax. (bifogas detta prov) Appendix B.2. IEEE-package (bifogas detta prov) Vid eventuella frågor

Läs mer

VHDL 1. Programmerbara kretsar

VHDL 1. Programmerbara kretsar VHDL 1 Programmerbara kretsar CPLD FPGA VHDL Kombinatorik with-select-when when-else Sekvensnät process case if-then-else Programmerbara kretsar PLD = programmable logic device CPLD = complex PLD, i princip

Läs mer

Programmerbar logik och VHDL. Föreläsning 1

Programmerbar logik och VHDL. Föreläsning 1 Programmerbar logik och VHDL Föreläsning 1 Programmerbar logik och VHDL Programmerbar logik VHDL intro Upplägg, litteratur, examination Programmerbara kretsar Mikroprocessor Fix hårdvara som kan utföra

Läs mer

Digital- och datorteknik, , Per Larsson-Edefors Sida 1

Digital- och datorteknik, , Per Larsson-Edefors Sida 1 Digitala it elektroniksystem t Professor Per Larsson-Edefors perla@chalmers.se Digital- och datorteknik, 101122, Per Larsson-Edefors Sida 1 Introduktion Konstruktionsalternativ Kretskort med diskreta standardkomponenter.

Läs mer

Digitala projekt Elektro- och informationsteknik

Digitala projekt Elektro- och informationsteknik Digitala projekt Elektro- och informationsteknik Digitala projekt (I) VT1 huvudsakligen teori och VT2 konstruktionsarbete i projektlabb 10 hp motsvarar ca 7 veckor heltid! Godkännande; U, G Gruppstorlek;

Läs mer

VHDL och laborationer i digitalteknik

VHDL och laborationer i digitalteknik V:1.1 VHDL och laborationer i digitalteknik Vid laborationskursen i digitalteknik används VHDL till alla laborationerna utom den första. VHDL är ett stort språk och enbart en liten del av språket behövs

Läs mer

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse

GRUNDER I VHDL. Innehåll. Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse GRUNDER I VHDL Innehåll Komponentmodell Kodmodell Entity Architecture Identifierare och objekt Operationer för jämförelse KOMPONENTMODELL Modell för att beskriva komponenter Externt interface Intern funktion

Läs mer

SMD033 Digitalteknik. Digitalteknik F1 bild 1

SMD033 Digitalteknik. Digitalteknik F1 bild 1 SMD033 Digitalteknik Digitalteknik F1 bild 1 Vi som undervisar Anders Hansson A3209 91 230 aha@sm.luth.se Digitalteknik F1 bild 2 Registrering Registrering via email till diglabs@luth.se Digitalteknik

Läs mer

F5 Introduktion till digitalteknik

F5 Introduktion till digitalteknik Exklusiv eller XOR F5 Introduktion till digitalteknik EDAA05 Roger Henriksson Jonas Wisbrant På övning 2 stötte ni på uttrycket x = (a b) ( a b) som kan utläsas antingen a eller b, men inte både a och

Läs mer

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design onsdagen den 5/ Tentamen i IE1204/5 Digital Design onsdagen den 5/6 2013 9.00-13.00 Allmän information Exaator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista IE1204) Tentamensuppgifterna behöver

Läs mer

Digital Design IE1204

Digital Design IE1204 Digital Design IE24 F2 : Logiska Grindar och Kretsar, Boolesk Algebra william@kth.se IE24 Digital Design F F3 F2 F4 Ö Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK LAB Kombinatoriska

Läs mer

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Konstruktionsmetodik för sekvenskretsar. Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik Konstruktionsmetodik för sekvenskretsar Föreläsning 7 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik 2 Dagens föreläsning Initiering av starttillstånd Programmerbar logik Syntesflödet

Läs mer

Omtentamen IE Digital Design Måndag 14/

Omtentamen IE Digital Design Måndag 14/ Omtentamen IE204-5 Digital Design Måndag 4/3 206 4.00-8.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist

Läs mer

IE1204 Digital Design

IE1204 Digital Design IE1204 Digital Design F1 F3 F2 F4 Ö1 Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombinatoriska kretsar F7 F8 Ö4 F9 Ö5 Multiplexor KK2 LAB2 Låskretsar, vippor, FSM

Läs mer

Mintermer. SP-form med tre mintermer. William Sandqvist

Mintermer. SP-form med tre mintermer. William Sandqvist Mintermer OR f 2 3 En minterm är en produktterm som innehåller alla variabler och som anger den kombination av :or och :or som tillsammans gör att termen antar värdet. SP-form med tre mintermer. f = m

Läs mer

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna EDA321 Digitalteknik-syntes D2 och E3 GU DIT795 Tentamen (EDA321-0205) Fredag den 13 januari 2012, fm i M-salarna Examinator Arne Linde, tel. 772 1683 Tillåtna hjälpmedel Inga hjälpmedel tillåtna. Detta

Läs mer

Tentamen i IE1204/5 Digital Design Torsdag 29/

Tentamen i IE1204/5 Digital Design Torsdag 29/ Tentamen i IE1204/5 Digital Design Torsdag 29/10 2015 9.00-13.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist

Läs mer

Konstruktionsmetodik för sekvenskretsar

Konstruktionsmetodik för sekvenskretsar Konstruktionsmetodik för sekvenskretsar Digitalteknik Föreläsning 7 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Inför laboration 2 Synkronisering av insignaler Asynkrona ingångar

Läs mer

DESIGN AV SEKVENTIELL LOGIK

DESIGN AV SEKVENTIELL LOGIK DESIGN AV SEKVENTIELL LOGIK Innehåll Timing i synkrona nätverk Synkrona processer i VHDL VHDL-kod som introducerar vippor (flip-flops) och latchar Initiering av register Mealy- och Moore-maskiner i VHDL

Läs mer

Tentamen med lösningar i IE1204/5 Digital Design Måndag 27/

Tentamen med lösningar i IE1204/5 Digital Design Måndag 27/ Tentamen med lösningar i IE04/5 Digital Design Måndag 7/0 04 9.00-3.00 Allmän information Examinator: Ingo Sander. Ansvarig lärare: Elena Dubrova /William Sandvist, tel 08-7904487 Tentamensuppgifterna

Läs mer

IE1205 Digital Design: F4 : Karnaugh-diagrammet, två- och fler-nivå minimering

IE1205 Digital Design: F4 : Karnaugh-diagrammet, två- och fler-nivå minimering IE25 Digital Design: F4 : Karnaugh-diagrammet, två- och fler-nivå minimering Mintermer 2 3 OR f En minterm är en produktterm som innehåller alla variabler och som anger den kombination av :or och :or som

Läs mer

Angående buffer. clk clear >=1 =9?

Angående buffer. clk clear >=1 =9? 10.VHDL3 Repetition buffer, record, loop kombinaoriska processer Varning latchar, hasard CPU-embryo VHDL-kod för mikromaskin med hämtfas Minnen i FGPA Distributed RAM (LUT) Block-RAM 1 Angående buffer

Läs mer

F5 Introduktion till digitalteknik

F5 Introduktion till digitalteknik George Boole och paraplyet F5 Introduktion till digitalteknik EDAA05 Roger Henriksson Jonas Wisbrant p = b! (s " r) George Boole (1815-1864) Professor i Matematik, Queens College, Cork, Irland 2 Exklusiv

Läs mer

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL

Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik. EDA 321 Digitalteknik syntes Laboration 2 - VHDL Chalmers ekniska Högskola Institutionen för Data- och Informationsteknik EDA 321 Digitalteknik syntes 2011-2012 Laboration 2 - VHDL 1. Enkelt sekvensnät 2. Trafikräknare i VHDL 3. Syntes av VHDL-kod Namn

Läs mer

Digital Design IE1204

Digital Design IE1204 Digital Design IE24 F4 Karnaugh-diagrammet, två- och fler-nivå minimering william@kth.se IE24 Digital Design F F3 F2 F4 Ö Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK LAB

Läs mer

IE1204/IE1205 Digital Design

IE1204/IE1205 Digital Design TENTAMEN IE1204/IE1205 Digital Design 2012-12-13, 09.00-13.00 Inga hjälpmedel är tillåtna! Hjälpmedel Tentamen består av tre delar med sammanlagd tolv uppgifter, och totalt 30 poäng. Del A1 (Analys) innehåller

Läs mer

Digital elektronik CL0090

Digital elektronik CL0090 Digital elektronik CL9 Föreläsning 5 27-2-2 8.5 2. Naxos Demonstration av uartus programvara. Genomgång av uartus flödesschema. Detta dokument finns på kurshemsidan. http://www.idt.mdh.se/kurser/cl9/ VHDL-kod

Läs mer

PARALLELL OCH SEKVENTIELL DATABEHANDLING. Innehåll

PARALLELL OCH SEKVENTIELL DATABEHANDLING. Innehåll PARALLELL OCH SEKVENTIELL DATABEHANDLING Innehåll Parallellism i VHDL Delta delays och Simuleringstid VHDLs simuleringscykel Aktivering av Processer Parallella och sekventiella uttryck 1 Controller PARALLELLISM

Läs mer

IE1204/5 Digital Design typtenta

IE1204/5 Digital Design typtenta IE1204/5 Digital Design typtenta Del A1 tio korta Analys-uppgifter 1p totalt 10p Rättas bara Rätt/Fel! Observera minst 6p på A1 om vi ska rätta vidare! Del A2 två Metodikuppgifter om totalt 10p. Rättas

Läs mer

Digitalteknik, fortsättningskurs Föreläsning VHDL Very High Speed Integrated Circuit Hardware Description Language

Digitalteknik, fortsättningskurs Föreläsning VHDL Very High Speed Integrated Circuit Hardware Description Language 1 Digitalteknik, fortsättningskurs Föreläsning 2-2012 VHDL Very High Speed Integrated Circuit Hardware Description Language VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Läs mer

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD

Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner i VHDL för PLD Sekvensfunktioner i VHDL för PLD UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson 2003-09-15 v 2.1 DIGITALTEKNIK Laboration D163 Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner

Läs mer

IE1204/5 Digital Design typtenta

IE1204/5 Digital Design typtenta IE1204/5 Digital Design typtenta Del A1 tio korta Analys-uppgifter 1p totalt 10p Rättas bara Rätt/Fel! Observera minst 6p på A1 om vi ska rätta vidare! Del A2 två Metodikuppgifter om totalt 10p. Rättas

Läs mer

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning...

DIGITAL ELEKTRONIK. Laboration DE3 VHDL 1. Namn... Personnummer... Epost-adress... Datum för inlämning... UMEÅ UNIVERSITET Tillämpad fysik och elektronik 2014 John Berge et al. DIGITAL ELEKTRONIK Laboration DE3 VHDL 1 Namn... Personnummer... Epost-adress... Datum för inlämning... Introduktion Syftet med denna

Läs mer

Digital elektronik CL0090

Digital elektronik CL0090 Digital elektronik CL9 Föreläsning 3 27--29 8.5 2. My Talsystem Binära tal har basen 2 Exempel Det decimala talet 9 motsvarar 2 Den första ettan är MSB, Most Significant Bit, den andra ettan är LSB Least

Läs mer

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Kursinformation VHDL-delen Lektion 7 : Datorlektion i VHDL+Xilinx [pdf] Lab 3 : Programmerbara

Läs mer

Digitala system EDI610 Elektro- och informationsteknik

Digitala system EDI610 Elektro- och informationsteknik Digitala system EDI610 Elektro- och informationsteknik Digitala System EDI610 Aktiv under hela första året, höst- och vår-termin Poäng 15.0 Godkännande; U,3,4,5 Under hösten i huvudsak Digitalteknik Under

Läs mer

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL Föreläsning 9 Digitalteknik Mattias Krysander Institutionen för systemteknik Kursinformation Lektion 7 : Datorlektion i Modelsim+VHDL Lab 3 : Programmerbara kretsar och

Läs mer

Sekvensnät. William Sandqvist

Sekvensnät. William Sandqvist Sekvensnät Om en och samma insignal kan ge upphov till olika utsignal, är logiknätet ett sekvensnät. Det måste då ha ett inre minne som gör att utsignalen påverkas av både nuvarande och föregående insignaler!

Läs mer

IE1205 Digital Design. F2 : Logiska Grindar och Kretsar, Boolesk Algebra. Fredrik Jonsson KTH/ICT/ES

IE1205 Digital Design. F2 : Logiska Grindar och Kretsar, Boolesk Algebra. Fredrik Jonsson KTH/ICT/ES IE1205 Digital Design F2 : Logiska Grindar och Kretsar, oolesk Algebra Fredrik Jonsson KTH/ICT/ES fjon@kth.se Switch En switch har två lägen Sluten/Till (Closed/On) Öppen/Från (Open/Off) Sluten Öppen x

Läs mer

DIGITALTEKNIK. Laboration D172

DIGITALTEKNIK. Laboration D172 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson 2006-02-24 v 1.2 DIGITALTEKNIK Laboration D172 Programmerbar logik (PLD) Programmeringsspråket VHDL Kombinatoriska funktioner

Läs mer

Sekvensnät i VHDL del 2

Sekvensnät i VHDL del 2 Laboration 6 i digitala system ht-16 Sekvensnät i VHDL del 2 Realisering av Mealy och Moore i VHDL............................. Namn............................. Godkänd (datum/sign.) 2 Laborationens syfte

Läs mer

Digitala elektroniksystem

Digitala elektroniksystem Digitala elektroniksystem Professor Per Larsson-Edefors perla@chalmers.se Digital- och datorteknik, 081126, Per Larsson-Edefors Sida 1 Konstruktionsalternativ Kretskort med diskreta standardkomponenter

Läs mer

Tentamen i IE1204/5 Digital Design måndagen den 15/

Tentamen i IE1204/5 Digital Design måndagen den 15/ Tentamen i IE1204/5 Digital Design måndagen den 15/10 2012 9.00-13.00 Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista IE1204), Tentamensuppgifterna

Läs mer

Konstruktion av digitala system - VHDL

Konstruktion av digitala system - VHDL Konstruktion av digitala system - VHDL Digitalteknik - Föreläsning 10 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Programmerbara kretsar Kombinationskretsar i VHDL with-select-when,

Läs mer

std_logic & std_logic_vector

std_logic & std_logic_vector VHDL VHDL - Very high speed integrated circuit Hardware Description Language VHDL är ett komplext språk, avsett för att beskriva digitala system på olika abstraktionsnivåer (beteende- och strukturmässigt).

Läs mer

Styrteknik: Grundläggande logiska funktioner D2:1

Styrteknik: Grundläggande logiska funktioner D2:1 Styrteknik: Grundläggande logiska funktioner D2:1 Digitala kursmoment D1 Boolesk algebra D2 Grundläggande logiska funktioner D3 Binära tal, talsystem och koder Styrteknik: Grundläggande logiska funktioner

Läs mer

Simulering med ModelSim En kort introduktion

Simulering med ModelSim En kort introduktion Linköpings universitet Institutionen för systemteknik Laborationer i digitalteknik Datorteknik 2018 Simulering med ModelSim En kort introduktion TSEA22 Digitalteknik D TSEA51 Digitalteknik Y TSEA52 Digitalteknik

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2011-08-26 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna hjälpmedel

Läs mer

Tentamen med lösningar i IE1204/5 Digital Design Torsdag 29/

Tentamen med lösningar i IE1204/5 Digital Design Torsdag 29/ Tentamen med lösningar i IE4/5 Digital Design Torsdag 9/ 5 9.-. Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandvist tel 8-794487 Tentamensuppgifterna behöver inte återlämnas när

Läs mer

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV

Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktion till Xilinx CPLD och ISE WebPack 6.2 Version NV Introduktionen beskriver grunderna för att använda programvaran Xilinx ISE WebPack 6.2.03 tillsammans med en CPLD (Complex Programmable Logic

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2008-08-29 Skrivtid 9.00-13.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Johan Eriksson Tel 070 589 7911 Tillåtna

Läs mer

Tentamen i Digitalteknik, EITF65

Tentamen i Digitalteknik, EITF65 Elektro- och informationsteknik Tentamen i Digitalteknik, EITF65 3 januari 2018, kl. 14-19 Skriv anonymkod och identifierare, eller personnummer, på alla papper. Börja en ny uppgift på ett nytt papper.

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #3 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Logikgrindar Från data till digitala byggblock: Kursens

Läs mer

Tentamen med lösningar för IE1204/5 Digital Design Torsdag 15/

Tentamen med lösningar för IE1204/5 Digital Design Torsdag 15/ Tentamen med lösningar för IE4/5 Digital Design Torsdag 5/ 5 9.-. Allmän information Eaminator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist, tel 8-79 44 87. KTH Valhallavägen, Fredrik Jonsson,

Läs mer

Programmerbara kretsar och VHDL 1. Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL 1. Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL 1 Föreläsning 9 Digitalteknik, TSEA22 Oscar Gustafsson, Mattias Krysander Institutionen för systemteknik 3 Dagens föreläsning Programmerbara kretsar Kombinationskretsar

Läs mer

Datorkonstruktion. Datorkonstruktion 2018, 8hp

Datorkonstruktion. Datorkonstruktion 2018, 8hp Datorkonstruktion 1 Datorkonstruktion 2018, 8hp Anders Nilsson Anders.P.Nilsson@liu.se Mål: Ni ska i grupper om 3 teknologer konstruera en inbyggd dator. VGA-skärm FPGA-kort 1 Datorkonstruktion 2018, 8hp

Läs mer

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare Sekvensiella System a(t) f(a(t)) Ett sekvensiellt system har ett inbyggt minne - utsignalen beror därför BÅDE av insignalens NUVARANDE

Läs mer

Omtentamen med lösningar IE Digital Design Måndag 14/

Omtentamen med lösningar IE Digital Design Måndag 14/ Omtentamen med lösningar IE204-5 Digital Design Måndag 4/3 26 4.-8. Allmän information ( TCOMK, Ask for an english version of this exam if needed Examinator: Ingo Sander. Ansvarig lärare: Kista, William

Läs mer

Digital Design IE1204

Digital Design IE1204 Digital Design IE1204 F10 Tillståndsautomater del II william@kth.se IE1204 Digital Design F1 F3 F2 F4 Ö1 Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombinatoriska

Läs mer

Introduktion till syntesverktyget Altera Max+PlusII

Introduktion till syntesverktyget Altera Max+PlusII Lunds Universitet LTH Ingenjörshögskolan Ida, IEA Helsingborg Laboration nr 5 i digitala system, ht-12 Introduktion till syntesverktyget Altera Max+PlusII Beskrivning i VHDL och realisering av några enkla

Läs mer

Översikt, kursinnehåll

Översikt, kursinnehåll Översikt, kursinnehåll Specifikation av digitala funktioner och system Digitala byggelement Kombinatoriska system Digital Aritmetik Synkrona system och tillståndsmaskiner Asynkrona system och tillståndsmaskiner

Läs mer

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Christer Ardlin/Lars Wållberg/ Dan Weinehall/Håkan Joëlson 2008-01-24 v 2.1 ELEKTRONIK Digitalteknik Laboration D181 Kombinatoriska kretsar,

Läs mer

Tentamen med lösningar IE Digital Design Måndag 23/

Tentamen med lösningar IE Digital Design Måndag 23/ Tentamen med lösningar IE04-5 Digital Design Måndag 3/0 07 4.00-8.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: Kista, William

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #5 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Vad är ett bra grindnät? De egenskaper som betraktas som

Läs mer

VHDL Basics. Component model Code model Entity Architecture Identifiers and objects Operations for relations. Bengt Oelmann -- copyright

VHDL Basics. Component model Code model Entity Architecture Identifiers and objects Operations for relations. Bengt Oelmann -- copyright BO 1 VHDL Basics Outline Component model Code model Entity Architecture Identifiers and objects Operations for relations Bengt Oelmann -- copyright 2002 1 Component model Model for describing components

Läs mer

DIGITALTEKNIK I. Laboration DE1. Kombinatoriska nät och kretsar

DIGITALTEKNIK I. Laboration DE1. Kombinatoriska nät och kretsar UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Björne Lindberg/Håkan Joëlson John Berge 2013 DIGITALTEKNIK I Laboration DE1 Kombinatoriska nät och kretsar Namn... Personnummer... Epost-adress...

Läs mer

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler,

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler, 9.17 Vi översätter beskrivningen till ett flödesdiagram, Figur E9.17a -c -c z=1 E A z=1 E A z=0 z=0 z=0 D z=0 D Figur E9.17a Flödesdiagram B z=0 B z=0 C z=0 C z=0 som vi i sin tur översätter till en flödestabell,

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2010-08-27 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

Transistorn en omkopplare utan rörliga delar

Transistorn en omkopplare utan rörliga delar Transistorn en omkopplare utan rörliga delar Gate Source Drain Principskiss för SiGe transistor (KTH) Varför CMOS? CMOS-Transistorer är enkla att tillverka CMOS-Transistorer är gjorda av vanlig sand =>

Läs mer

Moment 2 - Digital elektronik. Föreläsning 1 Binära tal och logiska grindar

Moment 2 - Digital elektronik. Föreläsning 1 Binära tal och logiska grindar Moment 2 - Digital elektronik Föreläsning 1 Binära tal och logiska grindar Jan Thim 1 F1: Binära tal och logiska grindar Innehåll: Introduktion Talsystem och koder Räkna binärt Logiska grindar Boolesk

Läs mer

Tentamen IE Digital Design Måndag 23/

Tentamen IE Digital Design Måndag 23/ Tentamen IE104-5 Digital Design Måndag 3/10 017 14.00-18.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist

Läs mer

Tentamen IE Digital Design Fredag 13/

Tentamen IE Digital Design Fredag 13/ Tentamen IE204-5 Digital Design Fredag / 207 08.00-2.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist

Läs mer

KALKYLATOR LABORATION4. Laborationens syfte

KALKYLATOR LABORATION4. Laborationens syfte LABORATION4 KALKYLATOR Laborationens syfte I denna laboration ska en enkel kalkylator konstrueras med hjälp av VHDL och utvecklingsverktyget Vivado från Xilinx. Hårdvaran realiseras på det redan bekanta

Läs mer

F1: Introduktion Digitalkonstruktion II, 4p. Digital IC konstruktion. Integrerad krets. System. Algorithm - Architecture. Arithmetic X 2.

F1: Introduktion Digitalkonstruktion II, 4p. Digital IC konstruktion. Integrerad krets. System. Algorithm - Architecture. Arithmetic X 2. 1 X2 IN Vdd OUT GND Översikt: F1: Introduktion Digitalkonstruktion II, 4p - Föreläsare: Bengt Oelmann - Kurslitteratur: "Principles of CMOS VLSI Design - A systems Perspective" - Föreläsningar: 16 - Räkneövningar:

Läs mer

Programmerbara kretsar och VHDL. Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik

Programmerbara kretsar och VHDL. Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik Programmerbara kretsar och VHDL Föreläsning 10 Digitalteknik, TSEA22 Mattias Krysander Institutionen för systemteknik 3 Dagens föreläsning Programmerbara kretsar Kombinationskretsar i VHDL with-select-when,

Läs mer

VHDL3. Angående buffer

VHDL3. Angående buffer VHDL3 Repetition buffer, record, loop kombinaoriska processer Varning latchar, hasard uprogcpu VHDL-kod för mikromaskin med hämtfas Minnen i FGPA Distributed RAM (LUT) Block-RAM 3-portars registerfil pipecpu

Läs mer

Styrteknik: Binära tal, talsystem och koder D3:1

Styrteknik: Binära tal, talsystem och koder D3:1 Styrteknik: Binära tal, talsystem och koder D3:1 Digitala kursmoment D1 Boolesk algebra D2 Grundläggande logiska funktioner D3 Binära tal, talsystem och koder Styrteknik :Binära tal, talsystem och koder

Läs mer

Tentamen i IE1204/5 Digital Design Måndag 27/

Tentamen i IE1204/5 Digital Design Måndag 27/ Tentamen i IE1204/5 Digital Design Måndag 27/10 2014 9.00-13.00 Allmän information Examinator: Ingo Sander. Ansvarig lärare: Elena Dubrova /William Sandqvist, tel 08-7904487 Tentamensuppgifterna behöver

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #4 Biträdande professor Jan Jonsson Instittionen för data- och informationsteknik Chalmers tekniska högskola SP- och PS-form: Boolesk algebra Vid förra föreläsningen

Läs mer

EDA451 - Digital och Datorteknik 2010/2011. EDA Digital och Datorteknik 2010/2011

EDA451 - Digital och Datorteknik 2010/2011. EDA Digital och Datorteknik 2010/2011 EDA 451 - Digital och Datorteknik 2010/2011 Ur innehållet: Vi repeterar kursens lärandemål Diskussion i kring övningstentor t Övriga frågor 1 Lärandemål Det övergripande målet är att den studerande ska

Läs mer

Definition av kombinatorisk logik Olika sätt att representera kombinatorisk logik Minimering av logiska uttryck

Definition av kombinatorisk logik Olika sätt att representera kombinatorisk logik Minimering av logiska uttryck KOMBINATORISK LOGIK Innehåll Definition av kombinatorisk logik Olika sätt att representera kombinatorisk logik Minimering av logiska uttryck Boolesk algebra Karnaugh-diagram Realisering av logiska funktioner

Läs mer

IE1205 Digital Design: F11: Programmerbar Logik, VHDL för Sekvensnät

IE1205 Digital Design: F11: Programmerbar Logik, VHDL för Sekvensnät IE1205 Digital Design: F11: Programmerbar Logik, VHDL för Sekvensnät Programmable Logic Devices Under 1970-talet introducerades programmerbara logiska kretsar som betecknas programmable logic device (PLD)

Läs mer

Maurice Karnaugh. Karnaugh-diagrammet gör det enkelt att minimera Boolska uttryck! William Sandqvist

Maurice Karnaugh. Karnaugh-diagrammet gör det enkelt att minimera Boolska uttryck! William Sandqvist Maurice Karnaugh Karnaugh-diagrammet gör det enkelt att minimera Boolska uttryck! En funktion av fyra variabler a b c d Sanningstabellen till höger innehåller 11 st 1:or och 5 st 0:or. Funktionen kan uttryckas

Läs mer

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I. Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.. Uttryckt i decimal form: A=28+32+8 + 2 =70 B=59 C=7 A+B+C=246 2. Jag låter A' betyda "icke A" A'B'C'D'+ABC'D'+A'BCD'+AB'CD'=D'(A'(B'C'+BC)+A(BC'+B'C))=

Läs mer

Digital Design IE1204

Digital Design IE1204 Digital Design IE1204 Kursomgång för IT, (ME), och IT-Kandidat, Kista. F11 Programmerbar logik VHDL för sekvensnät william@kth.se IE1204 Digital Design F1 F3 F2 F4 Ö1 Booles algebra, Grindar MOS-teknologi,

Läs mer

IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2

IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2 IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2 Sekvensnät Om en och samma insignal kan ge upphov till olika utsignal, är logiknätet ett sekvensnät. Det måste då ha ett inre minne som gör

Läs mer

Studiehandledning. Digitalkonstruktion 5p

Studiehandledning. Digitalkonstruktion 5p Studiehandledning Digitalkonstruktion 5p Välkommen till kursen i digitalkonstruktion... 3 Kursens uppläggning... 4 Översikt... 4 Kursens struktur... 4 Medverkande... 5 Lärare och handledare... 5 Administration...

Läs mer

TSEA22 Digitalteknik 2019!

TSEA22 Digitalteknik 2019! 1(45) 2019 Mattias Krysander Ingemar Ragnemalm D D 1(45) Föreläsning 4. Komb2. Denna föreläsning: Labb 1 Adderare Lite mer om kombinationskretsar 2(45)2(45) Förra föreläsningen: Några kombinationskretsar.

Läs mer

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare

IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare IE1205 Digital Design: F8: Minneselement: Latchar och Vippor. Räknare IE1205 Digital Design F1 F3 F2 F4 Ö1 Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombinatoriska

Läs mer

Tentamen i IE Digital Design Fredag 21/

Tentamen i IE Digital Design Fredag 21/ Tentamen i IE204-5 Digital Design Fredag 2/0 206 09.00-3.00 Allmän information (TCOMK, Ask for an english version of this exam if needed) Examinator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist

Läs mer

c a OP b Digitalteknik och Datorarkitektur 5hp ALU Design Principle 1 - Simplicity favors regularity add $15, $8, $11

c a OP b Digitalteknik och Datorarkitektur 5hp ALU Design Principle 1 - Simplicity favors regularity add $15, $8, $11 A basic -bit Select between various operations: OR, AND, XOR, and addition Full Adder Multiplexer Digitalteknik och Datorarkitektur hp Föreläsning : introduktion till MIPS-assembler - april 8 karlmarklund@ituuse

Läs mer

EDA Digital och Datorteknik 2009/2010

EDA Digital och Datorteknik 2009/2010 EDA45 - Digital och Datorteknik 29/2 EDA 45 - Digital och Datorteknik 29/2, lärobokens kapitel 3 Ur innehållet: Satslogik och Boolesk algebra Grindar Funktionstabell Binär evaluering Normal orm/förenklad

Läs mer

TSIU05 Digitalteknik. LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System

TSIU05 Digitalteknik. LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System 1 TSIU05 Digitalteknik LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System Sammanställning september 2013 Läs detta först Läs igenom hela laborationen så du vet vad du skall göra på laborationspasset. Hela

Läs mer

Flödesschema som visar hur man använder Quartus II.

Flödesschema som visar hur man använder Quartus II. Flödesschema som visar hur man använder Quartus II. För att det skall bli lite enklare använder jag följande exempel: ut1

Läs mer

Switch. En switch har två lägen. Sluten/Till (Closed/On) Öppen/Från (Open/Off) Sluten. Öppen. Symbol. William Sandqvist

Switch. En switch har två lägen. Sluten/Till (Closed/On) Öppen/Från (Open/Off) Sluten. Öppen. Symbol. William Sandqvist Switch En switch har två lägen Sluten/Till (Closed/On) Öppen/Från (Open/Off) Sluten Öppen = = Symbol S Implementering av logiska funktioner Switchen kan användas för att implentera logiska funktioner Power

Läs mer