Sekvensstyrningsproblem

Storlek: px
Starta visningen från sidan:

Download "Sekvensstyrningsproblem"

Transkript

1 Kapitel 3 Sekvensstyrningsproblem Vid kombinatoriska styrproblem av den typ som betraktades i avsnitt 2.3, och i Ex. 2.1, bestämdes utsignalerna från styrsystemet som booleska funktioner av insignalerna. För att förverkliga mera generella sekvensstyrningsproblem bör systemet också hålla reda på tillståndet som processen befinner sig i vid en given tidpunkt. Detta sker i praktiken genom att införa tillståndsvariabler {x i }, vilkas värden definierar systemets olika tillstånd. Styrsystemet kan schematiskt beskrivas med följande figur. Insignaler (från process) Utsignaler(till process) u 1 y 1 u 2 Logisk. y 2. funktion. u. n y m.... Nytt 1 tillstånd Tillstånd.x x p x + 1,..., x+ p. Minne. Figur 3.1: Styrsystem med minne Här är utsignalerna y 1,..., y m till processen och tillståndsvariablernas nya värden x + 1,..., x+ p (det nya tillståndet) booleska funktioner av insignalerna u 1,..., u n från processen samt tillståndsvariablerna x 1,..., x p (det tidigare tillståndet). De booleska funktionerna kan behandlas med de metoder som diskuterades i avsnitt 2. Det nya problemet som tillkommer vid sekvensstyrningsproblem är att definiera tillstånd och tillståndsvariabler samt att bestämma hur de nya värdena x + 1,..., x+ p skall bestämmas. Sekvensstyrningsproblem kan ytterligare indelas i deterministiska sekvensstyrningsproblem, där processen skall genomgå en på förhand bestämd sekvens (t.ex. fyllning och tömning av reaktor), och stokastista sekvensstyrningsproblem, där systemet skall funktionera rationellt för godtyckliga insignalsekvenser (jmf. Ex. 1.1). De stokastiska sekvensstyringsproblemen är i allmänhet besvärligare att behandla än de deterministiska. 41

2 42 KAPITEL 3. SEKVENSSTYRNINGSPROBLEM Vippor Medan kombinatoriska styrproblem kan realiseras enbart med hjälp av logiska grindar, fordrar sekventiella styrproblem dessutom element som håller reda på tillståndet, dvs. fungerar som minne. I elektroniken realiseras minnesfunktioner med hjälp av vippor (flip-flops). Den viktigaste typen av vippa är RS-vippan (Reset-Set) (även SR-vippa), vars symbol och sanningstabell ges i Fig. 3.2 S R S R S R x x x x Figur 3.2: SR-vippa Vippan fungerar alltså så, att för S = 1 (Set) blir x = 1, för R = 1 (Reset) blir x = 0, och för R = S = 0 förblir x vid sitt tidigare värde. Vippan fungerar alltså som en minnesfunktion, där värdet hos variabeln x anger vilkendera av signalerna S och R senast haft värdet 1. För insignalkombinationen R = S = 1 är x ej definierad. Funktionen hos en RS-vippa kan beskrivas av ekvationen x + = (x + S) R (3.1) Uppgift 3.1 Verifiera ekvation 3.1 på basen av sanningstabellen i Fig En RS-vippa kan realiseras i form av två återkopplade NOR-grindar (jmf. föreläsningarna i elektronik). Förutom RS-vippan används andra typer av vippor: JK-vippan, D-vippan och T-vippan är de vanligaste. Dessa skall dock ej behandlas här. 3.1 Syntes av sekventiella system Det existerar flera syntesmetoder med vilka styrsystem för sekventiella processer kan planeras. Den klassiska metoden i detta sammanhang är en syntesmetod först presenterad av Huffman år 1954, och senare vidareutvecklad av andra. Huffmans metod är ursprungligen utvecklad för den situation då systemet skall realiseras med hjälp av logiska grindar och vippor. Proceduren ägnar därför stor uppmärksamhet vid att systemet skall kunna realiseras med så få vippor som möjligt, och vid att undvika sådana oönskade funktioner som beror på att de olika elementen reagerar olika snabbt, såsom (dynamisk) hasard och sk. kapplöpningar. Då man i praktiken nuförtiden vanligen implementerar sekvensstyrning med hjälp av datorer så är ovan nämnda aspekter av underordnad betydelse. Detta leder till en avsevärd förenkling av syntesproceduren. Vi skall här beskriva en förenklad version av Huffmans metod, som är lämpad för styrsystem som implementeras med dator.

3 3.1. SYNTES AV SEKVENTIELLA SYSTEM 43 Exempel 3.1 Alarmsystem Alarmsignal Kvitteringsknapp u 1 u 2 Styrsystem y 1 y 2 Siren Alarmlampa För att illustrera syntesproceduren skall vi betrakta alarmsystemet enligt figuren. Systemet skall fungera på följande sätt. Då u 1 = 1, vilket representerar en alarmsignal från processen, skall y 1 = 1, vilket slår på alarmsiren. Operatören kan kvittera signalen med en kvitteringsknapp (u 2 ), så att en (momentan) signal u 2 = 1 slår av sirenen (y 1 = 0), som ersätts av en blinkande alarmlampa (y 2 = 1). Lampan bör fortsätta att blinka så länge u 1 = 1. Då u 1 0, skall y 2 = 0. Dessutom skall i det fall då den farliga situationen (u 1 = 1) försvinner av sig själv (u 1 = 0), innan kvittering getts, sirenen fortsättningsvis vara på. Detta för att operatören skall tvingas observera att en farosituation förekommit. Vid kvittering i detta fall skall emellertid alarmlampan inte börja blinka. Tillståndsgraf Ur de verbalt givna systemspecifikationerna bör i nästa skede en kvantitativ modell för systemets funktion konstrueras. Systemets funktion kan åskådliggöras grafiskt med en tillståndsgraf (flödesdiagram, övergångsdiagram). I denna representeras systemets tillstånd av noder, och de möjliga tillståndsövergångarna anges av riktade länkar mellan noderna. För alarmsystemet i Ex. 3.1 fås tillståndsgrafen i Fig /00 10/10 11/01 10/ / /10 u 1 u 2 /y 1 y 2 Figur 3.3: Tillståndsgraf I det normala händelseförloppet med inkommande alarmsignal och kvittering av denna genomgår systemet tillstånden 1,2,3,4,1. Övergångarna från tillstånd 2 till 6 resp. 3 till 5 representerar situationen då alarmsignalen försvinner av sig själv (observera dubbelriktningen). Övergångarna från tillstånd 1 till 5 resp. 4 till 3 representerar de fall då kvitteringsknappen trycks vid ifrågavarande tillstånd hos systemet. Tillståndstabell Informationen i tillståndsgrafen representeras i följande skede i form av en tillståndstabell (flödestabell, primitiv tillståndstabell, primitiv flödestabell). I denna representeras varje tillstånd av en rad, de olika insignalkombinationerna utsätts kolumnvis, och längst till höger anges systemets utsignaler vid ifrågavarande tillstånd.

4 44 KAPITEL 3. SEKVENSSTYRNINGSPROBLEM I tabellen anges systemets nya tillstånd för varje kombination av tillstånd och insignaler. Ett tillstånd sägs vara stabilt för en given uppställning insignaler, om systemet förblir i tillståndet i fråga (tillstånd och insignaler konsistenta med varandra). Ett tillstånd sägs vara instabilt för en given uppsättning insignaler, om systemet övergår till ett annat tillstånd (tillstånd och insignaler inkonsistenta med varandra). I detta fall är det nya tillståndet stabilt. I tillståndstabellen anges de stabila tillstånden genom inrigning, t.ex. 1. Tabell 3.1: Tillståndstabell u u y 1 y Tabell 3.1 visar en tillståndstabell för systemet som beskrivs av tillståndsgrafen i Fig Observera att det antagits att det för de olika systemtillstånden finns vissa insignalkombinationer som ej kan förekomma. Detta motsvarar ett don t care tillstånd i tillståndstabellen och anges där med ett streck -. (I detta fall har det antagits att två insignaler ej kan ändras (exakt) samtidigt; ett antagande vars giltighet kan diskuteras.) I praktiken är konstruktionen av tillståndsgraf och tillståndstabell ofta det svåraste, och samtidigt det viktigaste, skedet av syntesproceduren. I detta skede bör systemets funktion definieras exakt, vilket kräver en genomgående analys av problemställningen. I motsats till detta skede är de senare faserna av syntesproceduren mera rutinmässiga. Observera att tillståndsgrafen och tillståndstabellen innehåller samma information, och det är möjligt (åtminstone med lite rutin) att konstruera tillståndstabellen direkt på basen av systemspecifikationerna. Det brukar dock rekommenderas att också tillstådsgrafen uppställs, ty tack vare sin åskådlighet är det enklare att med hjälp av denna hitta eventuella felaktiga funktionssätt. Uppgift 3.2 Systemet i Fig. 3.4 skall upptäcka passagen av ett föremål vars längd överstiger L. Härvid skall utsignalen y få värdet 1, efter att föremålet fullständigt passerat sensorn u 2. (Signalen y kan t.ex. ange för en efterföljande del av processen, att föremålet skall skjutas åt sidan). Signalen y tillåts förbli vid värdet 1 tills ett nytt föremål ger signal. Endast föremål som rör sig från vänster till höger skall ge y = 1, men systemet skall reagera förnuftigt också i en situation då ett föremål rör sig från höger till vänster. Konstruera tillståndsgraf och tillståndstabell för systemet. y u 1 u 2 L Figur 3.4: Sensorsystem

5 3.1. SYNTES AV SEKVENTIELLA SYSTEM 45 Tillståndsminimering Den primitiva tillståndstabellen enligt ovan kan i princip som sådan utnyttjas för att konstruera det slutliga styrsystemet. I praktiken tenderar emellertid antalet tillstånd hos systemet härvid bli tämligen stort, vilket motiverar att man strävar till att förenkla systemet. En tillståndstabell kan förenklas genom kombination av tillstånd. Tillstånd kan kombineras genom att man även utnyttjar informationen hos insignalerna. På detta sätt kan två, eller flera, tillstånd kombineras förutsatt att de är konsistenta i den meningen att de tillståndsövergångar som fås för olika insignalkombinationer, och som kan avläsas i tillståndstabellen, inte är motstridiga. På detta sätt fås följande regler för kombinering av tillstånd (rader i tillståndstabellen): 1. Två eller flera rader (tillstånd) i tillståndstabellen kan kombineras om raderna har samma tal i de kolumner som anger nästa tillstånd. 2. Ett stabilt (inringat) och ett icke-stabilt tillstånd kombineras till stabilt (inringat) tillstånd. 3. Ett tillstånd (stabilt eller icke-stabilt) och ett don t care kombineras till ifrågavarande tillstånd (stabilt eller icke-stabilt). 4. Två don t care kombineras till don t care. 5. Två stabila tillstånd (dvs med olika nummer) kan kombineras om tillstånden har identiska utsignaler. 6. Två eller flera instabila tillstånd (dvs med olika nummer) kan kombineras om de tillståndsövergångar som slås ihop sker mellan de sammanslagna tillstånden, och om alla tillstånd har identiska utsignaler. Betrakta som exempel den primitiva tillståndstabellen 3.1. Enligt reglerna ovan fås följande kombinationer: (1,5), (2,6), (3,4,5). I allmänhet kan antalet tillstånd reduceras på flera olika sätt genom olika tillståndskombinationer. De olika kombinationsmöjligheterna brukar åskådliggöras i form av ett sammansmältningsdiagram (e. merger diagram ). I denna anges raderna (tillstånden) i den primitiva tillståndstabellen i form av noder i en graf, och noderna förenas med en länk om motsvarande rader kan kombineras. För tillståndstabellen 3.1 fås diagrammet i Fig Varje grupp av noder i diagrammet med den egen Figur 3.5: Sammansmältningsdiagram skapen att varje nod i gruppen är förenad med alla andra noder i gruppen kan kombineras till ett nytt tillstånd. I detta enkla exempel ger diagrammet direkt, att tillstånden kan reduceras antingen enligt eller (1), (2, 6), (3, 4, 5), (1, 5), (2, 6), (3, 4) Båda alternativen leder i detta fall till tre tillstånd hos det reducerade systemet. I praktiken kan antalet sätt att reducera tillstånden bli mycket stort, och det optimala valet blir nödvändigtvis en kompromiss mellan olika kriterier. Följande tumregler har visat sig vara nyttiga:

6 46 KAPITEL 3. SEKVENSSTYRNINGSPROBLEM 1. För att förenkla funktionerna för utsignalerna y i, är det fördelaktigt att kombinera rader (tillstånd) med liknande eller identiska utsignaler y i. 2. För att förenkla de booleska uttryck som behövs för att ange tillståndsövergångar, är det fördelaktigt att välja kombinationer som eliminerar möjligast många instabila tillstånd, och bevarar möjligast många don t care tillstånd. För exemplet i tabell 3.1 och 3.5 fås enligt regel 1 att kombination enligt (1,5), (2,6), (3,4) är att föredra, ty enligt denna kombination kombineras endast tillstånd med ekvivalenta utsignaler. Det reducerade systemet beskrivs med hjälp av en reducerad tillståndstabell. I denna intoduceras tillståndsvariabler x i för de nya tillstånd som skapats genom tillståndskombination. Dessa variabler brukar anges till höger i den reducerade tillståndstabellen. För det betraktade exemplet fås den reducerade tillståndstabellen 3.2. Tabell 3.2: Reducerad tillståndstabell u u , x 1 2, x 2 3, x 3 I detta fall har det förenklade systemet tre tillstånd. Tillståndsvariablerna x i (i = 1, 2, 3) kommer att bestämmas så, att x i = 1 (i = 1, 2, 3), då systemet befinner sig i tillstånd i (i = 1, 2, 3), och x i = 0, annars. Uppgift 3.3 Bestäm en reducerad tillståndstabell för systemet i Uppgift 3.2. Bestämning av utsignalfunktionerna Vi skall nu bestämma utsignalfunktionerna y i för det reducerade systemet. Varje utsignal y i är då en boolesk funktion av tillstånden x 1, x 2,..., och insignalerna u 1, u 2,.... För dessa booleska funktioner kan ett minimalt funktionsuttryck bestämmas med hjälp av de metoder som behandlats i avsnitt 2.2. Betrakta åter exemplet med den primitiva tillståndstabellen 3.1 och den reducerade tillståndstabellen 3.2. I detta exempel blir utsignalfunktionerna triviala, eftersom endast tillstånd med identiska utsignaler kombinerats (enligt tumregel A). Enligt tabell 3.1 är y 1 = 1 i de stabila tillstånden 2 och 6 dvs. då x 2 = 1, och y 2 = 1 i de stabila tillstånden 3 och 4, dvs. då x 3 = 1, och vi får: y 1 = x 2, y 2 = x 3 (3.2) Mera allmänt kan stabila tillstånd som befinner sig på samma rad i den reducerade tillståndstabellen ha olika utsignaler. Utsignalfunktionerna bör då bestämmas genom att betrakta varje stabilt tillstånd i den

7 3.1. SYNTES AV SEKVENTIELLA SYSTEM 47 reducerade tillståndstabellen. För exemplet i fråga fås att y 1 = 1 för de stabila tillstånden 2 och 6 varvid tabell 3.2 ger: y 1 = u 1 u 2 x 2 + u 1 u 2 x 2 Detta uttryck kan förenklas genom att observera att utsignalernas värden är likgiltiga för instabila tillstånd. Vi kan beskriva y 1 med hjälp av Karnaugh-diagrammet nedan. x u 1 u y 1 = u 1 u 2 x 2 + u 1 u 2 x 2 y 1 = x 2 Bestämning av tillståndsövergångar Den reducerade tillståndstabellen (3.2) anger systemets tillståndsövergångar. I ett stabilt tillstånd sker ingen tillståndsövergång. I ett instabilt tillstånd anger tabellen det nya stabila tillståndet till vilket övergången sker. För att beskriva tillståndsövergångarna definieras för varje tillståndsvariabel x i en funktion av typen (3.1): x i = (x i + S i ) R i där (Set) funktionen S i = 1 ger en övergång till tillståndet i, x i = 1. Och (Reset) funktionen R i = 1 ger en övergång från tillståndet i, x i = 0. Samt att S i = R i = 0 förorsakar ingen förändring, x i förblir vid sitt tidigare värde. Situationen S i = R i = 1 är sådan att den ej kan förekomma. För systemet i tabell 3.2 har vi att övergång till det stabila tillståndet x 1 fås om systemet befinner sig i tillståndet x 3 och u 1 = u 2 = 0 eller u 1 = 0, u 2 = 1, eller om systemet befinner sig i tillståndet x 2 och u 1 = 0, u 2 = 1. Således fås S 1 = u 1 u 2 x 3 + u 1 u 2 x 3 + u 1 u 2 x 2 = u 1 x 3 + u 1 u 2 (3.3) (Här har utnyttjats, att värdet för S 1 är likgiltig för u 1 = 0, u 2 = 1, x 1 = 1) Analogt har vi, att övergång från tillståndet x 1 sker, om systemet befinner sig i x 1 och u 1 = 1, u 2 = 0 eller u 1 = 1, u 2 = 1. Således fås R 1 = u 1 u 2 + u 1 u 2 = u 1 (3.4) (x 1 kan bortlämnas, ty R 1 = 1 gör ingen skada, fastän systemet skulle befinna sig i något annat tillstånd.) På samma sätt fås för de övriga tillstånden och för tillståndsvariablerna fås slutligen uttrycken S 2 = u 1 u 2 x 1, R 2 = u 1 u 2 + u 1 u 2 = u 2 S 3 = u 1 u 2, R 3 = u 1 u 2 + u 1 u 2 = u 1 (3.5) x i = (x i + S i ) R i (3.6) De booleska funktionerna beskriver fullständigt det i Ex. 3.1 sökta styrsystemet. Observera, att konstruktionen av funktionerna gjorts så, att S i och R i är funktioner av de nya insignalerna och

8 48 KAPITEL 3. SEKVENSSTYRNINGSPROBLEM de gamla tillståndsvariablerna, medan utsignalerna y i är funktioner av de nya insignalerna och de nya tillståndsvariablerna. Detta är viktigt att beakta vid implementering (programmering) av funktionerna. T.ex. i ladder-diagram som används i laborationsuppgiften så utförs de fördefinerade set- och resetfunktionerna genast. Detta är typiskt inte ett problem, då reset-funktioner i allmänhet är oberoende av tillstånd (undantag förekommer t.ex. på sidan 52). Uppgift 3.4 Slutför syntesen av styrsystemet i Uppgift 3.2 och 3.3 Uppgift 3.5 Planera styrsystemet för Ex. 3.1 i det fall då tillstånden i den primitiva tillståndstabellen 3.1 kombineras enligt (1), (2, 6), (3, 4, 5). Något om implementering med logiska grindar och vippor Styrsystemet kan implementeras med hjälp av logiska grindar och vippor. Härvid representeras tillståndsvariablerna som utsignaler från vippor. För att minimera antalet vippor utnyttjas det faktum att man med n st. logiska variabler kan beskriva 2 n st. tillstånd. För att t.ex. beskriva 4 tillstånd räcker det med 2 vippor, varvid de 4 tillstånden representeras av (00), (01), (11), (10). Liksom tidigare bör den kombinatoriska delen av nätet planeras så att det är hasardfritt (jmf. avsnitt 2.3). I sekventiella nät av den typ som visas i Fig. 3.1 tillkommer dessutom problemet som uppstår p.g.a. att vippornas reaktionstider inte är exakt desamma. Detta leder till att systemets beteende vid en tillståndsförändring kommer att bestämmas av den vippa vars utsignal reagerar först. Resultatet är en s.k. kapplöpning (eng. race), vid vilken systemet genomgår ett antal tillståndsförändringar till ett nytt stabilt tillstånd, som kan vara det korrekta nya tillståndet eller inte, beroende på vilken vippa som reagerar snabbast. Systemet kan också börja oscillera mellan ett antal tillstånd, utan att hitta ett nytt stabilt tillstånd. Kapplöpningar och oscillationer undviks i princip på liknande sätt som hasard: konstruera systemet så att två vippor ej ändrar tillstånd samtidigt.

9 3.2. DETERMINISTISKA SEKVENSSTYRNINGSPROBLEM Deterministiska sekvensstyrningsproblem I avsnitt 3.1 behandlades närmast sk. stokastiska sekvensstyrningsproblem, där systemet bör fungera på ett specificerat sätt för en mängd olika insignalsekvenser. Deterministiska sekvensstyrningsproblem kan uppfattas som ett specialfall av de mera generella stokastiska sekvensstyrningsproblemen, med en på förhand given insignalsekvens. Metodiken i avsnitt 3.1 kan därför också tillämpas för deterministiska sekvensstyrningsproblem. P.g.a. sin enklare struktur existerar emellertid också andra procedurer som i praktiken tillämpas för problem av denna typ. FUP FUP (ty. Funktionsplan), funktionsdiagram, är en tysk standard (DIN 40718) för beskriving av sekventiella styrproblem. I denna anges processens olika steg grafiskt i form av numrerade rutor. Vid dessa anges villkor som bör gälla för att processen skall övergå till ifrågavarande steg, en beskrivning av funktionerna hos processen vid skedet i fråga, samt villkor för senare steg i processen. Stegnummer Ev. beskrivning av steget 4 & Aktion 1 Aktion 2 Aktion 3 a b c u 3 u 2 u 1 Konjunktiva villkor för steg 4 a c b Konjunktiva villkor för steg 5 (alternativ beteckning) Följd av framgånsrikt utförd aktion 5 Aktion 4 Aktion 5 Aktion 6 d g h 6 1 d g h Disjunktiva villkor för steg 6 Figur 3.6: Funktionsplan Exempel 3.2 Betrakta processen i Fig Den önskade funktionen är följande. Processen skall kunna startas med en START-signal, varvid ventilerna V A och V B öppnas (V A = 1, V B = 1) förutsatt att behållarna A resp. B är fulla (H A = 1 resp. H B = 1) och reaktorn är tom (L R = 0). Efter tömning av behållarna (L A = 0 resp. L B = 0) skall ventilerna V A resp. V B stängas (V A = 0, V B = 0), och omrörning och uppvärmning slås på (y 1 = 1, y 2 = 1). Reaktorinnehållet skall omröras och uppvärmas i t = 10 min,

10 50 KAPITEL 3. SEKVENSSTYRNINGSPROBLEM H A H B L A A B L B V A y 1 V B L R y 2 V R Figur 3.7: Reaktor varefter reaktorn töms (V R = 1). Efter genomförd sekvens skall processen åter kunna startas med en START-signal, förutsatt att ovan angivna villkor är uppfyllda. En funktionsplan för processen ses i figur Starta & Stäng V R START L R V R H A H B 2 Töm A Öppna V A V A 3 Öppna V B V B Töm B & 4 Omrör och uppvärm 5 Töm reaktor & x T MR (10min) L A L B Stäng V A V A Stäng V B V B Omrörning på y 1 Uppvärmning på y 1 Sätt timer x T MR Omrörning från y 1 Uppvärmning från y 2 Öppna V R V R Figur 3.8: Funktionsplan för reaktor

11 3.2. DETERMINISTISKA SEKVENSSTYRNINGSPROBLEM 51 På basen av funktionsplanen kan en primitiv tillståndstabell uppställas, och systemets logik kan planeras på basen av denna på samma sätt som i avsnitt 3.1, genom lämpligt beaktande av parallella processteg. Detta förfarande rekommenderas för processer med långa sekvenser, då det kan vara viktigt att förenkla logiken genom kombination av tillstånd. Deterministiska sekventiella processer innehåller emellertid i allmänhet mycket färre tillstånd än stokastiska sekventiella processer. Därför planeras logiken ofta direkt på basen av funktionsplanen. För att illustrera proceduren skall vi i detta exempel välja detta förfarande. Det är dock viktigt att komma ihåg att logiken kan förenklas enligt metoderna i avsnitt 3.1. För att realisera tidsfördröjningen i processteg 4 behövs någon typ av tidsfördröjningsfunktion. I praktiska realiseringar, t.ex. med en programmerbar logik, finns vanligen olika typer av tidsfördröjningsfunktioner. Vi skall här använda oss av en vanligt förekommande funktion av denna typ. Vi introducerar en logisk timer -funktion TMR med insignalen x T MR och utsignalen x T MR [ t]: Här är x T MR TMR t x T MR [ t] Figur 3.9: Timer t( 0) ett argument som kan väljas fritt. TMR-funktionen har följande funktionssätt: En förändring av insignalen (x T MR ) från 0 till 1 får utsignalen (x T MR [ t]) att övergå från 0 till 1 en tid t senare. Däremot övergår utsignalen till 0 utan tidsfördröjning då x T MR = 0. En dylik timer kallas för Timer On Delay (TON), finns även andra typer av timers, t.ex. Timer Off Delay (TOFF), som fördröjer förändringen från 1 till 0 men inte andra vägen. Funktionen för en TON illustreras i följande figur. x T MR t t x T MR [ t] Figur 3.10: Timerns funktion För processen i Fig. 3.7 och 3.8 har vi Insignaler: H A, L A H B, L B L R, START Utsignaler: V A, V B y 1, y 2 V R Enligt funktionsplanen i Fig. 3.8 har processen fem st. steg, för vilka fordras motsvarande tillståndsvariabler: x 1 x 5. Dessutom definieras en variabel x T MR och motsvarande utsignal x T MR [t] från en TMRfunktion. Logiken kan nu konstrueras på basen av Fig För varje tillståndsvariabel definieras Set- och Resetfunktioner enligt Ur Fig. 3.8 fås: x i = (x i + S i ) R i, i = 1, 2, 3, 4, 5

12 52 KAPITEL 3. SEKVENSSTYRNINGSPROBLEM S 1 = START L R R 1 = H A + H B S 2 = H A (x 1 + x 3 ) R 2 = L A L B (x 2 x 3 + x 4 ) S 3 = H B (x 1 + x 2 ) R 3 = L A L B (x 2 x 3 + x 4 ) S 4 = L A L B x 2 x 3 R 4 = x T MR [10min] S 5 = x T MR [10min] R 5 = START L R Vi behöver här en speciell konstriktion av R 2 och R 3, därför att vi kommer att vara vid tillstånden 2 och 3 samtidigt. Och man kan inte lita på vid programmering att vi kommer att vara vid det gamla tillståndet då vi beräknar reset-funtktionerna. T.ex. i ett ladder-diagram så utförs set och reset genast, men det kan man heller inte lita på i alla tillämpningar. x T MR [10min] är utsignalen från en TMR-funktion, vars insignal är x T MR = x 4. Utsignalernas värden blir: Grafcet V A = x 2 y 1 = x 4 V B = x 3 y 2 = x 4 V R = x 5 GRAFCET (Graphe de Commande Etape-Transision) är en grafisk procedur för beskrivning av sekventiella processer. Proceduren, som ursprungligen utvecklats i Frankrike, har standardiserats i flera länder. Metoden har stora likheter med FUP, se Figur 3.11, som visar såväl en GRAFCET som en FUP-beskrivning av ett automatiskt blandningssystem. (a) (b) Figur 3.11: (a) GRAFCET och (b) FUP beskrivningar av ett automatiskt blandningssystem

13 3.3. PETRI NÄT Petri nät Tillståndsautomatbeskrivningen i avsnitt 3.1 (tillståndsgraf, tillståndstabell) har en del begränsningar, vilka gör beskrivningen obekväm för vissa exempel. Detta har motiverat alternativa formalismer för att beskriva sekventiella system. Av dessa är Petri-nätet det viktigaste. Petri-nätet har varit som förebild vid utvecklandet av Grafcet-beskrivningen, se avsnitt 3.2. Vi skall först illustrera begränsningarna för tillståndsautomater med ett par exempel. Exempel 3.3 Betrakta ett system med där inkommande jobb betjänas av en server S (Fig. 3.12). Inkommande jobb som ej kan betjänas direkt sätts i en kö. Servern kan betjäna endast ett jobb åt gången. Ink. jobb Kö Server S Figur 3.12: Serverproblemet Låt den logiska signalen A = 1 ange att ett jobb anländer, och låt S = 1 ange att ett jobb betjänats och att följande jobb kan betjänas av servern. En tillståndsautomatbeskrivning av systemet visas i Figur 3.13, där nod nummer i (i=0,1,2,... ) motsvarar ett tillstånd med i st. jobb i kön. Systemet har alltså ett A A A A A S S S S S Figur 3.13: Tillståndsautomat för serverproblemet oändligt antal tillstånd. Exempel 3.4 Betrakta påfyllningen av en reaktor från två behållare (Fig. 3.7), där tömning av behållarna A och B kan ske samtidigt. Figur 3.14 visar en tillståndsautomatbeskrivning av processen, där noderna motsvarar följande tillstånd. 1. Klar för start 2. A och B töms 3. A töms, B fylls 4. B töms, A fylls 5. A tömd, B töms 6. B tömd, A töms 7. Reaktorn fylld

14 54 KAPITEL 3. SEKVENSSTYRNINGSPROBLEM Figur 3.14: Tillståndsautomat för blandningsprocess Det behövs ett tillstånd för varje kombination av de två delprocessernas tillstånd. Detta illustrerar en inherent svaghet hos tillståndsautomatbeskrivningen: Antalet tillstånd ökar kombinatoriskt med antalet delsystem. Dessutom leder en utvidgning av systemet med nya delsystem (t.ex. en tredje behållare C) till besvärliga modifieringar av tillståndsautomatbeskrivningen. För att kunna beskriva sekventiella, händelse-drivna system på ett bekvämt sätt har man utvecklat alternativ till tillståndsautomatbeskrivningen. Det (sannolikt) mest utbredda av dessa är Petri-nätet (C.A. Petri 1964). Ett Petri-nät har två typer av noder: Cirklar representerar platser (places), som beskriver tillstånd eller aktiviteter hos ett system, och tvärstreck som representerar transitioner. Noderna kan förbindas med flera riktade länkar (Fig. 3.15). p 1 t 1 p 2 Figur 3.15: Petri-nät med två platser p 1, p 2, och en transition t 1 En transition kan exekveras, vilket kan användas för att representera tillståndsövergångar. För att ange när en transition kan exekveras förses platserna med tecken (token), jmf. Fig Antalet tecken i de olika platserna definierar Petri-nätets tillstånd x, dvs där x(p i ) = antalet tecken i plats p i. x = [x(p 1 ) x(p 2 )... x(p m )]

15 3.3. PETRI NÄT 55 p 1 t 1 p 2 Figur 3.16: Petri-nät med tecken, tillstånd x = [1 0] För att en transition skall kunna exekvera bör det finnas ett tecken för varje riktad länk till transitionen. Transitionen i Figur 3.16 kan således ej exekveras. Däremot exekveras transitionen t 1 i Figur 3.17(a). Observera att antalet tecken i ett Petri-nät i allmänhet ej behöver vara konstant; antalet kan såväl öka p 1 t 1 p 2 (a) x = [2 0] p 1 t 1 p 2 (b) x = [0 1] Figur 3.17: (a) Petri-nät med transition som kan exekveras (b) Tillstånd efter transition som minska beroende på antalet länkar till och från de transitioner som exekveras. Transitionerna kan vara förbundna med flera platser och vice versa. Notera även att en plats kan vara förbunden med en transition i båda riktningarna, jmf. Fig p 1 t 1 p 2 Figur 3.18: Petri-nät med länkar med olika riktning Ett exempel på dynamiken i ett Petri-nät illustreras i Fig Observera att det i Fig antagits att t 2 exekverats i x 1. Lika väl kunde emellertid t 1 eller t 3 ha exekverats i x 1, vilket leder till andra tillstånd hos nätet. För att beteendet hos ett Petri-nät skall vara entydigt definierat bör ordningsföljden av de exekverbara transitionerna specifieras på något sätt. Det viktigaste sättet ur ingenjörsmässig synvinkel är att binda transitionerna till externa händelser, varvid Petri-nätet beskriver det som är möjligt. Mera om detta under Exempel 3.5 och 3.6.

16 56 KAPITEL 3. SEKVENSSTYRNINGSPROBLEM p 2 t 2 p 1 t 1 p 3 t 3 p 4 Figur 3.19: x 0 = [2, 0, 0, 1], endast transition t 1 kan exekveras p 1 t 1 p 2 p 3 t 2 t 3 p 4 Figur 3.20: Nytt tillstånd x 1 = [1, 1, 1, 1] efter exekvering av t 1 i x 0 p 1 t 1 p 2 p 3 t 2 t 3 p 4 Figur 3.21: Nytt tillstånd x 2 = [1, 1, 0, 2] efter exekvering av t 2 i x 1

17 3.3. PETRI NÄT 57 En variant av Petri-nät där transitionernas ordningsföljd kan specificeras genom att införa tider τ i 0 för de olika transitionerna t i, som anger tiden efter vilken transitionen exekveras, då villkoren för exekvering blivit uppfyllda (sk. timed Petri net). Sådana fördöjda transitioner anges med en rektangel, se Fig Transitionen t 1 i Fig exekveras efter τ 1 tidsenheter efter att villkoren för exekvering (två tecken i plats p 1 ) blivit uppfyllda. p 1 τ 1 t 1 Figur 3.22: Timed Petri net p 2 Teorin för Petri-nät analyserar olika egenskaper hos näten, såsom - Om det dynamiska beteendet fortsätter med nya transitioner i all oändlighet ( liveliness ), eller upphör vid något skede. - Om systemet hakar upp sig utan att komma vidare ( deadlock ). - Vilka tillstånd som kan nås från ett givet starttillstånd. - Om tillståndet (totala antalet tecken) förblir begränsat. Till slut skall vi illustrera hur systemen i exemplen 3.3 och 3.4 kan beskrivas med Petri-nät. Exempel 3.5 Betrakta systemet i Ex Ett sätt att beskriva nätet med Petri-nät visas i Fig Vi utnyttjar oss av tre stycken transitioner: a: representerar att ett inkommande jobb anländer s: representerar start av betjäning i servern c: representerar att servern fullbordat ett jobb. Jobben antas ta τ c tidsenheter. Dessutom behövs tre platser Q: antalet tecken i Q anger antalet jobb i kön B: ett tecken i B anger att servern utför jobb (Busy) I: ett tecken i I anger att servern kan ta emot jobb (Idle) a a Q s I Q s I B B c τ c c τ c (a) Initialtillstånd med tom kö och ledig server Figur 3.23: Petri-nät för server-problemet (b) Servern upptagen och två jobb i kön

18 58 KAPITEL 3. SEKVENSSTYRNINGSPROBLEM Här är ankomsten av jobb a en transition som specificeras utifrån. Observera att i motsats till tillståndsautomatbeskrivningen i Ex. 3.3 har Petrinätbeskrivningen ändligt antal noder. Antalet jobb i kön karakteriseras entydigt av nätets tillstånd, dvs antalet tecken i platserna (och i det här fallet antalet tecken i Q). Uppgift 3.6 Modifiera Petri-nätet i Ex. 3.5 enligt följande: (a) Inkommande jobb kommer med en viss tid emellan (b) Jobben (kunderna) blir och prata i väntrummet efter att ha blivit betjänade av servern. (c) Servern kan gå sönder eller annars tas ur bruk för service. a Q s B I c τ c

19 3.3. PETRI NÄT 59 Exempel 3.6 Betrakta systemet Fig. 3.7 och Ex. 3.2 med påfyllning av en reaktor från två behållare A och B. Ett Petri-nät som beskriver detta system ses i Fig Externa signaler för start och påfyllning av A och B har även införts. A fylld Start ok Start ok B fylld H A A töms (V A = 1) B töms (V B = 1) H B LA L B Fyll A A tömd (V A = 0) B tömd (V B = 0) Fyll B Omrör&Uppvärm Start 10 min Töm reaktor (V R = 1) L R Tömd reaktor (V R = 0) Figur 3.24: Petri-nät för blandningsprocess Observera att i motsats till tillståndsautomat beskrivningen i Fig kan de parallella processerna beskrivas oberoende av varandra i Petri-nät beskrivningen. Detta gör beskrivningen mera strukturerad, och det är enkelt att sätta till eller avlägsna delprocesser. Defacto så har vi mycket mera detaljer med i Petrinätet i figure 3.24 än i tillståndsautomaten i figur En nackdel med Petri-nät är att den grafiska representationen av ett realistiskt system nog kan bli relativt komplicerad, med många platser och transitioner. Vidare så ses i ovanstående exempel att platser är ofta sammankopplade med utsignaler (inom parentes), och transitioner är ofta sammankopplade med insignaler. Transitionerna som är kopplade med insignaler så har som tillägssvillkor att den externa signalen måste ha rätt värde. Dylika transitioner brukar kallas icke styrbara, eftersom de är beroende av omgivningen.

20 60 KAPITEL 3. SEKVENSSTYRNINGSPROBLEM Uppgift 3.7 Bestäm ett Petri-nät som modellerar alarmsystemet i Ex Alarmsignal Kvitteringsknapp u 1 u 2 Styrsystem y 1 y 2 Siren Alarmlampa Uppgift 3.8 Bestäm ett Petri-nät som modellerar systemet beskrivet i Uppgift 3.2. y u 1 u 2 L

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler

Exempeluppgift i Logikstyrning. 1 Inledning. 2 Insignaler och utsignaler Exempeluppgift i Logikstyrning Inledning Idén med detta papper är att ge en allmän beskrivning av labbutrustningen och tips för hur man kan lösa olika praktiska problem i samband med laborationen. Läs

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #13 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Vad kännetecknar en tillståndsmaskin? En synkron tillståndsmaskin

Läs mer

IE1205 Digital Design: F9: Synkrona tillståndsautomater

IE1205 Digital Design: F9: Synkrona tillståndsautomater IE25 Digital Design: F9: Synkrona tillståndsautomater Moore och Mealy automater F8 introducerade vippor och vi konstruerade räknare, skift-register etc. F9-F skall vi titta på hur generella tillståndsmaskiner

Läs mer

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar

DIGITALTEKNIK I. Laboration DE2. Sekvensnät och sekvenskretsar UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Håkan Joëlson, John Berge 203 DIGITALTEKNIK I Laboration DE2 Sekvensnät och sekvenskretsar Namn... Personnummer... Epost-adress... Datum för

Läs mer

SEKVENSKRETSAR. Innehåll

SEKVENSKRETSAR. Innehåll SEKVENSKRETSAR Innehåll Synkrona sekvenskretsar Tillståndsdiagram / tillståndstabell Definition av Moore- och Mealy-maskiner Tillståndskodning Syntes av sekventiell logik Räknare SEKVENSKRETSAR EXEMPEL

Läs mer

Asynkrona sekvensmaskiner

Asynkrona sekvensmaskiner Asynkrona sekvensmaskiner En asynkron sekvensmaskin är en sekvensmaskin utan vippor Asynkrona sekvensmaskiner bygger på återkopplade kombinatoriska grindnätverk Vid analys antar man: Endast EN signal i

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #9 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola ekvensnät Vad kännetecknar ett sekvensnät? I ett sekvensnät

Läs mer

IE1204 Digital Design

IE1204 Digital Design IE204 Digital Design F F3 F2 F4 Ö Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK LAB Kombinatoriska kretsar F7 F8 Ö4 F9 Ö5 Multiplexor KK2 LAB2 Låskretsar, vippor, FSM F0 F

Läs mer

Tentamen i Digitalteknik, EITF65

Tentamen i Digitalteknik, EITF65 Elektro- och informationsteknik Tentamen i Digitalteknik, EITF65 3 januari 2018, kl. 14-19 Skriv anonymkod och identifierare, eller personnummer, på alla papper. Börja en ny uppgift på ett nytt papper.

Läs mer

IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2

IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2 IE1205 Digital Design: F10: Synkrona tillståndsautomater del 2 Sekvensnät Om en och samma insignal kan ge upphov till olika utsignal, är logiknätet ett sekvensnät. Det måste då ha ett inre minne som gör

Läs mer

Repetition och sammanfattning av syntes och analys av sekvensnät

Repetition och sammanfattning av syntes och analys av sekvensnät Repetition och sammanfattning av syntes och analys av sekvensnät Sekvensnät = ihopkoppling av sekvenskretsar Består i praktiken av - minnesdel (sekvenskretsar) - kombinatorisk del. Sekvenskretsar = kretsar

Läs mer

IE1204/IE1205 Digital Design

IE1204/IE1205 Digital Design TENTAMEN IE1204/IE1205 Digital Design 2012-12-13, 09.00-13.00 Inga hjälpmedel är tillåtna! Hjälpmedel Tentamen består av tre delar med sammanlagd tolv uppgifter, och totalt 30 poäng. Del A1 (Analys) innehåller

Läs mer

Sekvensnät Som Du kommer ihåg

Sekvensnät Som Du kommer ihåg Sekvensnät Som Du kommer ihåg Designmetodik Grundläggande designmetodik för tillståndsmaskiner. 1. Analysera specifikationen för kretsen 2. Skapa tillståndsdiagram 3. Ställ upp tillståndstabellen 4. Minimera

Läs mer

Sekvensstyrning Grafcet och IEC

Sekvensstyrning Grafcet och IEC Sekvensstyrning Grafcet och IEC 61131-3 Indtroduktion GRAFCET Tekniken grundades i Frankrike på 1970-talet och ligger till grund för ett standardiserat programspråk i enlighet med standard IEC 61131-3.

Läs mer

ÅBO AKADEMI LOGIKSTYRNING. Hannu Toivonen Jari Böling. Augusti 2012. Biskopsgatan 8 FIN 20500 Åbo Finland

ÅBO AKADEMI LOGIKSTYRNING. Hannu Toivonen Jari Böling. Augusti 2012. Biskopsgatan 8 FIN 20500 Åbo Finland ÅBO AKADEMI TEKNISKA FAKULTETEN Laboratoriet för reglerteknik DEPARTMENT OF ENGINEERING Process Control Laboratory LOGIKSTYRNING Hannu Toivonen Jari Böling Augusti 202 Biskopsgatan 8 FIN 20500 Åbo Finland

Läs mer

Tentamen i Digital Design

Tentamen i Digital Design Kungliga Tekniska Högskolan Tentamen i Digital Design Kursnummer : Kursansvarig: 2B56 :e fo ingenjör Lars Hellberg tel 79 7795 Datum: 27-5-25 Tid: Kl 4. - 9. Tentamen rättad 27-6-5 Klagotiden utgår: 27-6-29

Läs mer

+5V. start. Styrsystem. stopp. Tillståndsmaskiner

+5V. start. Styrsystem. stopp. Tillståndsmaskiner Tillståndsmaskiner Beteendet hos en stor klass av tekniska system kan beskrivas, modelleras, med tillståndsmaskiner. En tillståndsmaskin är en sekvens av tillstånd som beror av händelser och som ger olika

Läs mer

F5 Introduktion till digitalteknik

F5 Introduktion till digitalteknik Exklusiv eller XOR F5 Introduktion till digitalteknik EDAA05 Roger Henriksson Jonas Wisbrant På övning 2 stötte ni på uttrycket x = (a b) ( a b) som kan utläsas antingen a eller b, men inte både a och

Läs mer

Institutionen för systemteknik, ISY, LiTH. Tentamen i. Tid: kl

Institutionen för systemteknik, ISY, LiTH. Tentamen i. Tid: kl Institutionen för systemteknik, ISY, LiTH Tentamen i Digitalteknik TSIU05/TEN1 Tid: 2016 10 26 kl. 14 18 Lokal : TER3 TER4 Ansvarig lärare: Michael Josefsson. Besöker lokalen kl 16. Tel.: 013-28 12 64

Läs mer

TSEA22 Digitalteknik 2019!

TSEA22 Digitalteknik 2019! 1(39) 2019 Mattias Krysander Ingemar Ragnemalm 1(39) Föreläsning 5. Sekv1. enna föreläsning: Vippor Sekvensnät Moore och Mealy 2(39)2(39) Förra föreläsningen: Labb 1. Adderare. Carryaccelerator Och ännu

Läs mer

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna

D2 och E3. EDA321 Digitalteknik-syntes. Fredag den 13 januari 2012, fm i M-salarna EDA321 Digitalteknik-syntes D2 och E3 GU DIT795 Tentamen (EDA321-0205) Fredag den 13 januari 2012, fm i M-salarna Examinator Arne Linde, tel. 772 1683 Tillåtna hjälpmedel Inga hjälpmedel tillåtna. Detta

Läs mer

Grundläggande Datorteknik Digital- och datorteknik

Grundläggande Datorteknik Digital- och datorteknik Grundläggande Datorteknik Digital- och datorteknik Kursens mål: Fatta hur en dator är uppbggd (HDW) Fatta hur du du programmerar den (SW) Fatta hur HDW o SW samverkar Digital teknik Dator teknik Grundläggande

Läs mer

Programmerbar logik. Kapitel 4

Programmerbar logik. Kapitel 4 Kapitel 4 Programmerbar logik Programmerbar logik (PLC: Programmable Logic Controller; fi. ohjelmoitava logiikka) är en sorts mikrodatorliknande instrument som är speciellt avsedda för logik- och sekvensstyrningsproblem.

Läs mer

Quine McCluskys algoritm

Quine McCluskys algoritm Quine McCluskys algoritm Tabellmetod för att systematiskt finna alla primimplikatorer ƒ(a,b,c,d) = m(4,5,6,8,9,0,3) + d(0,7,5) Moment : Finn alla primimplikatorer Steg: Fyll i alla mintermer i kolumn.

Läs mer

2.1 Disjunktiv och konjunktiv normalform

2.1 Disjunktiv och konjunktiv normalform Kapitel 2 Booleska funktioner 2. Disjunktiv och konjunktiv normalform Låt x,..., x n vara booleska variabler. En boolesk funktion f(x,..., x n ) är då en funktion av variablerna x,..., x n som antar något

Läs mer

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl

Tentamen. TSEA22 Digitalteknik 5 juni, 2015, kl Tentamen TSEA22 Digitalteknik 5 juni, 2015, kl. 08.00-12.00 Tillåtna hjälpmedel: Inga. Ansvarig lärare: Mattias Krysander Visning av skrivningen sker mellan 10.00-10.30 den 22 juni på Datorteknik. Totalt

Läs mer

Repetition TSIU05 Digitalteknik Di/EL. Michael Josefsson

Repetition TSIU05 Digitalteknik Di/EL. Michael Josefsson Repetition TSIU05 Digitalteknik Di/EL Michael Josefsson Här kommer några frågeställningar och uppgifter du kan använda för att använda som egenkontroll på om du förstått huvudinnehållet i respektive föreläsning.

Läs mer

+5V. start. Styrsystem. stopp. Tillståndsmaskiner

+5V. start. Styrsystem. stopp. Tillståndsmaskiner Tillståndsmaskiner Beteendet hos en stor klass av tekniska system kan beskrivas, modelleras, med tillståndsmaskiner. En tillståndsmaskin är en sekvens av tillstånd som beror av händelser och som ger olika

Läs mer

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1

Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1 Digitalteknik 7.5 hp distans: 5.1 Generella sekvenskretsar 5.1.1 Från Wikipedia: Sekvensnät Ett sekvensnäts utgångsvärde beror inte bara på indata, utan även i vilken ordning datan kommer (dess sekvens).

Läs mer

Försättsblad till skriftlig tentamen vid Linköpings universitet

Försättsblad till skriftlig tentamen vid Linköpings universitet Försättsblad till skriftlig tentamen vid Linköpings universitet Datum för tentamen 08-03-3 Sal (5) Tid 8- Kurskod TSEA Provkod TEN Kursnamn/benämning Provnamn/benämning Institution Antal uppgifter som

Läs mer

Omtentamen IE Digital Design Måndag 14/

Omtentamen IE Digital Design Måndag 14/ Omtentamen IE204-5 Digital Design Måndag 4/3 206 4.00-8.00 Allmän information ( TCOMK, Ask for an english version of this exam if needed ) Examinator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist

Läs mer

Digital Design IE1204

Digital Design IE1204 Digital Design IE1204 F10 Tillståndsautomater del II william@kth.se IE1204 Digital Design F1 F3 F2 F4 Ö1 Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombinatoriska

Läs mer

1 Minkostnadsflödesproblem i nätverk

1 Minkostnadsflödesproblem i nätverk Krister Svanberg, april 2012 1 Minkostnadsflödesproblem i nätverk Ett nätverk består av en given mängd noder numrerade från 1 till m (där m är antalet noder) samt en given mängd riktade bågar mellan vissa

Läs mer

Inledning. Kapitel 0. Det finns tre typer av regler- och styrproblem

Inledning. Kapitel 0. Det finns tre typer av regler- och styrproblem Kapitel 0 Inledning Det finns tre typer av regler- och styrproblem 1. Reglering och styrning av procesesser som kan beskrivas med hjälp av differential- eller differensekvationer. Ingående variabler beskrivs

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2011-08-26 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna hjälpmedel

Läs mer

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1

Laboration D181. ELEKTRONIK Digitalteknik. Kombinatoriska kretsar, HCMOS. 2008-01-24 v 2.1 UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Christer Ardlin/Lars Wållberg/ Dan Weinehall/Håkan Joëlson 2008-01-24 v 2.1 ELEKTRONIK Digitalteknik Laboration D181 Kombinatoriska kretsar,

Läs mer

Repetition delay-element

Repetition delay-element Repetition delay-element Synkront sekvensnät Klockad vippa Asynkront sekvensnät ett konstgrepp: Delay-element Andra beteckningar: Y och y Gyllene regeln Endast EN signal åt gången ändras Exitationstabell

Läs mer

Tentamen i IE1204/5 Digital Design måndagen den 15/

Tentamen i IE1204/5 Digital Design måndagen den 15/ Tentamen i IE1204/5 Digital Design måndagen den 15/10 2012 9.00-13.00 Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista IE1204), Tentamensuppgifterna

Läs mer

Tentamen med lösningar för IE1204/5 Digital Design Torsdag 15/

Tentamen med lösningar för IE1204/5 Digital Design Torsdag 15/ Tentamen med lösningar för IE4/5 Digital Design Torsdag 5/ 5 9.-. Allmän information Eaminator: Ingo Sander. Ansvarig lärare: Kista, William Sandqvist, tel 8-79 44 87. KTH Valhallavägen, Fredrik Jonsson,

Läs mer

TSIU05 Digitalteknik. LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System

TSIU05 Digitalteknik. LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System 1 TSIU05 Digitalteknik LAB1 Kombinatorik LAB2 Sekvensnät LAB3 System Sammanställning september 2013 Läs detta först Läs igenom hela laborationen så du vet vad du skall göra på laborationspasset. Hela

Läs mer

Tentamen i EDA320 Digitalteknik för D2

Tentamen i EDA320 Digitalteknik för D2 CHALMERS TEKNISKA HÖGSKOLA Institutionen för datorteknik Tentamen i EDA320 Digitalteknik för D2 Tentamenstid: onsdagen den 2 mars 997 kl 4.5-8.5. Sal: vv Examinator: Peter Dahlgren Tel. expedition 03-772677.

Läs mer

Diskreta Linjära System och Skiftregister

Diskreta Linjära System och Skiftregister Sammanfattning Föreläsning 13-14 - Digitalteknik I boken: avsnitt 7.1-7.3 (-) Diskreta Linjära System och Skiftregister Syftet med denna del är att förstå att tillståndsmaskiner som endast består av linjära

Läs mer

EDA451 - Digital och Datorteknik 2010/2011. EDA Digital och Datorteknik 2010/2011

EDA451 - Digital och Datorteknik 2010/2011. EDA Digital och Datorteknik 2010/2011 EDA 451 - Digital och Datorteknik 2010/2011 Ur innehållet: Vi repeterar kursens lärandemål Diskussion i kring övningstentor t Övriga frågor 1 Lärandemål Det övergripande målet är att den studerande ska

Läs mer

Grundläggande digitalteknik

Grundläggande digitalteknik Grundläggande digitalteknik Jan Carlsson Inledning I den verkliga världen vet vi att vi kan få vilka värden som helst när vi mäter på något. En varm sommardag visar termometern kanske 6, 7 C. Men när det

Läs mer

Digital Design IE1204

Digital Design IE1204 Digital Design IE204 F2 Asynkrona sekvensnät del william@kth.se IE204 Digital Design F F3 F2 F4 Ö Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK LAB Kombinatoriska kretsar F7

Läs mer

Styrteknik: SFC Introduktion

Styrteknik: SFC Introduktion SFC_A:1 SFC = Sequential Function Chart Language SFC är ett grafiskt programspråk som används för att beskriva sekvenser i styrtekniska tillämpningar. Många styrtekniska uppgifter är sekvensiella, dvs

Läs mer

IE1204 Digital Design

IE1204 Digital Design IE1204 Digital Design F1 F3 F2 F4 Ö1 Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK1 LAB1 Kombinatoriska kretsar F7 F8 Ö4 F9 Ö5 Multiplexor KK2 LAB2 Låskretsar, vippor, FSM

Läs mer

Tentamen i Digitalteknik, EIT020

Tentamen i Digitalteknik, EIT020 Elektro- och informationsteknik Tentamen i Digitalteknik, EIT020 18 december 2010, kl 8-13 Skriv namn och årskurs på alla papper. Börja en ny lösning på ett nytt papper. Använd bara en sida av pappret.

Läs mer

Digital Design IE1204

Digital Design IE1204 Digital Design IE204 F2 Asynkrona sekvensnät del william@kth.se IE204 Digital Design F F3 F2 F4 Ö Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK LAB Kombinatoriska kretsar F7

Läs mer

1. Inledning. 1. Inledning

1. Inledning. 1. Inledning För de flesta människor är ett relativt okänt begrepp trots att var och en i det dagliga livet ständigt kommer i kontakt med och t.o.m. själv utövar. Reglerteknik är varje rationell metod att styra eller

Läs mer

Digital Design IE1204

Digital Design IE1204 Digital Design IE24 F2 : Logiska Grindar och Kretsar, Boolesk Algebra william@kth.se IE24 Digital Design F F3 F2 F4 Ö Booles algebra, Grindar MOS-teknologi, minimering F5 F6 Ö2 Aritmetik Ö3 KK LAB Kombinatoriska

Läs mer

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design onsdagen den 5/ Tentamen i IE1204/5 Digital Design onsdagen den 5/6 2013 9.00-13.00 Tentamensfrågor med lösningsförslag Allmän information Examinator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista

Läs mer

Tentamen i Digitalteknik TSEA22

Tentamen i Digitalteknik TSEA22 Tentamen i Digitalteknik TSEA22 Datum för tentamen 100601 Sal TERC,TER2 Tid 14-18 Kurskod TSEA22 Provkod TEN 1 Kursnamn Digitalteknik Institution ISY Antal uppgifter 5 Antal sidor 5 Jour/Kursansvarig Olle

Läs mer

Tentamen i IE1204/5 Digital Design onsdagen den 5/

Tentamen i IE1204/5 Digital Design onsdagen den 5/ Tentamen i IE1204/5 Digital Design onsdagen den 5/6 2013 9.00-13.00 Allmän information Exaator: Ingo Sander. Ansvarig lärare: William Sandqvist, tel 08-790 4487 (Kista IE1204) Tentamensuppgifterna behöver

Läs mer

Tentamen EDAA05 Datorer i system

Tentamen EDAA05 Datorer i system LUNDS TEKNISKA HÖGSKOLA 1(5) Institutionen för datavetenskap Tentamen EDAA05 Datorer i system 2011 10 17, 8.00 13.00 Tillåtna hjälpmedel: bifogad formel- och symbolsamling. För godkänt betyg på tentamen

Läs mer

Tenta i Digitalteknik

Tenta i Digitalteknik Tenta i Digitalteknik Kurskod D0011E Tentamensdatum 2010-08-27 Skrivtid 9.00-14.00 Maximalt resultat 50 poäng Godkänt resultat 25 poäng inkl bonus Jourhavande lärare Per Lindgren Tel 070 376 8150 Tillåtna

Läs mer

Ladderprogrammering steg för steg

Ladderprogrammering steg för steg Ladderprogrammering steg för steg En introduktion till LD-programmering för kursen MIE 012 Elektroteknikens Grunder vid LTH. Gunnar Lindstedt Introduktion Den dominerande typen av styrsystem för binära

Läs mer

Mintermer. SP-form med tre mintermer. William Sandqvist

Mintermer. SP-form med tre mintermer. William Sandqvist Mintermer OR f 2 3 En minterm är en produktterm som innehåller alla variabler och som anger den kombination av :or och :or som tillsammans gör att termen antar värdet. SP-form med tre mintermer. f = m

Läs mer

Laboration D151. Kombinatoriska kretsar, HCMOS. Namn: Datum: Epostadr: Kurs:

Laboration D151. Kombinatoriska kretsar, HCMOS. Namn: Datum: Epostadr: Kurs: UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Christer Ardlin/Lars Wållberg/ Håkan Joëlson 2000-01-28 v 2.3 ELEKTRONIK Digitalteknik Laboration D151 Kombinatoriska kretsar, HCMOS Namn:

Läs mer

Lektion 8: Konstruktion av semantiska tablåer för PTL-formler

Lektion 8: Konstruktion av semantiska tablåer för PTL-formler Lektion 8: Konstruktion av semantiska tablåer för PTL-formler Till denna lektion hör uppgift 2, 6 och 0 i lärobokens avsnitt.6 (sid. 255). Lös uppgift 2 genom att konstruera en semantisk tablå. Följande

Läs mer

DIGITALTEKNIK I. Laboration DE1. Kombinatoriska nät och kretsar

DIGITALTEKNIK I. Laboration DE1. Kombinatoriska nät och kretsar UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Björne Lindberg/Håkan Joëlson John Berge 2013 DIGITALTEKNIK I Laboration DE1 Kombinatoriska nät och kretsar Namn... Personnummer... Epost-adress...

Läs mer

IE1205 Digital Design: F13: Asynkrona Sekvensnät (Del 2)

IE1205 Digital Design: F13: Asynkrona Sekvensnät (Del 2) IE25 Digital Design: F3: Asynkrona Sekvensnät (Del 2) Rep. Tillståndsmaskiner LT_I_EURO (a) (b) (c) COIN_PRESENT COIN_PRESENT COIN_PRESENT COIN_PRESENT Tillståndsmaskiner styr sekvenser av händelser. Övergångar

Läs mer

Digital elektronik CL0090

Digital elektronik CL0090 Digital elektronik CL9 Föreläsning 5 27-2-2 8.5 2. Naxos Demonstration av uartus programvara. Genomgång av uartus flödesschema. Detta dokument finns på kurshemsidan. http://www.idt.mdh.se/kurser/cl9/ VHDL-kod

Läs mer

de var svåra att implementera och var väldigt ineffektiva.

de var svåra att implementera och var väldigt ineffektiva. OBS! För flervalsfrågorna gäller att flera alternativ eller inget alternativ kan vara korrekt. På flervalsfrågorna kan man bara ha rätt eller fel, dvs frågan måste vara helt korrekt besvarad. Totalt kan

Läs mer

Definition av kombinatorisk logik Olika sätt att representera kombinatorisk logik Minimering av logiska uttryck

Definition av kombinatorisk logik Olika sätt att representera kombinatorisk logik Minimering av logiska uttryck KOMBINATORISK LOGIK Innehåll Definition av kombinatorisk logik Olika sätt att representera kombinatorisk logik Minimering av logiska uttryck Boolesk algebra Karnaugh-diagram Realisering av logiska funktioner

Läs mer

Lösningsförslag till tentamen i Digitalteknik, TSEA22

Lösningsförslag till tentamen i Digitalteknik, TSEA22 Försättsblad till skriftlig tentamen vid Linköpings universitet, Datorteknik, ISY (4) Lösningsförslag till tentamen i Digitalteknik, TSEA Datum för tentamen 3009 Salar U4, U7, U0 Tid 4.00-8.00 Kurskod

Läs mer

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15.

Minnet. Minne. Minns Man Minnet? Aktivera Kursens mål: LV3 Fo7. RAM-minnen: ROM PROM FLASH RWM. Primärminnen Sekundärminne Blockminne. Ext 15. Aktivera Kursens mål: LV3 Fo7 Konstruera en dator mha grindar och programmera denna Aktivera Förra veckans mål: Konstruktruera olika kombinatoriska nät som ingår i en dator. Studera hur addition/subtraktion

Läs mer

TSEA22 Digitalteknik 2019!

TSEA22 Digitalteknik 2019! 1(43) 2019 Mattias Krysander Ingemar Ragnemalm 1(43) Föreläsning 7. Sekv3. enna föreläsning: Lösningar närmare verkligheten Synkronisering Enpulsare Problem till design 2(43)2(43) Förra föreläsningen:

Läs mer

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll:

Ett minneselements egenskaper. F10: Minneselement. Latch. SR-latch. Innehåll: F: Minneselement Innehåll: - Latchar - Flip-Flops - egister - Läs- och skrivminne (andom-access Memory AM) - Läsminne (ead Only Memory OM) Ett minneselements egenskaper Generellt sett så kan följande operationer

Läs mer

Implementering av digitala filter

Implementering av digitala filter Kapitel 9 Implementering av digitala filter Som vi sett i kapitel 8 kan det behövas ett mycket stort antal koefficienter för att representera ett digitalt filter. Detta gäller i synnerhet FIR filter. Det

Läs mer

Algoritmer, datastrukturer och komplexitet

Algoritmer, datastrukturer och komplexitet Algoritmer, datastrukturer och komplexitet Övning 10 Anton Grensjö grensjo@csc.kth.se 9 november 2017 1 Idag En konstruktionsreduktion Fler bevis av NP-fullständighet 2 Teori Repetition Ett problem tillhör

Läs mer

LOGIKSTYRNING/18/2: Laboration. 1 Uppgiften. Figur 1: Tågbanan

LOGIKSTYRNING/18/2: Laboration. 1 Uppgiften. Figur 1: Tågbanan LOGIKSTYRNING/18/2: Laboration Figur 1: Tågbanan 1 Uppgiften En programmerbar logik (PLC) skall programmeras för ett transportör-problem med en transportör (tåg) och ett antal stationer (se figur 1). Vid

Läs mer

REPETITION (OCH LITE NYTT) AV REGLERTEKNIKEN

REPETITION (OCH LITE NYTT) AV REGLERTEKNIKEN REPETITION (OCH LITE NYTT) AV REGLERTEKNIKEN Automatisk styra processer. Generell metodik Bengt Carlsson Huvudantagande: Processen kan påverkas med en styrsignal (insignal). Normalt behöver man kunna mäta

Läs mer

Digitalteknik F9. Automater Minneselement. Digitalteknik F9 bild 1

Digitalteknik F9. Automater Minneselement. Digitalteknik F9 bild 1 Digitalteknik F9 Automater Minneselement Digitalteknik F9 bild Automater Från F minns vi följande om en automat (sekvenskrets): Utsignalerna beror av insignal och gammalt tillstånd: Insignaler Utsignaler

Läs mer

Fö relä sning 2, Kö system 2015

Fö relä sning 2, Kö system 2015 Fö relä sning 2, Kö system 2015 Vi ska börja titta på enskilda kösystem som ser ut på följande sätt: Det kan finnas en eller fler betjänare och bufferten kan vara ändlig eller oändlig. Om bufferten är

Läs mer

Vektorgeometri för gymnasister

Vektorgeometri för gymnasister Vektorgeometri för gymnasister Per-Anders Svensson http://homepage.lnu.se/staff/psvmsi/vektorgeometri/gymnasiet.html Fakulteten för teknik Linnéuniversitetet 27 augusti 2013 Innehåll Linjära ekvationssystem

Läs mer

SMD033 Digitalteknik. Digitalteknik F1 bild 1

SMD033 Digitalteknik. Digitalteknik F1 bild 1 SMD033 Digitalteknik Digitalteknik F1 bild 1 Vi som undervisar Anders Hansson A3209 91 230 aha@sm.luth.se Digitalteknik F1 bild 2 Registrering Registrering via email till diglabs@luth.se Digitalteknik

Läs mer

Digital- och datorteknik

Digital- och datorteknik Digital- och datorteknik Föreläsning #3 Biträdande professor Jan Jonsson Institutionen för data- och informationsteknik Chalmers tekniska högskola Logikgrindar Från data till digitala byggblock: Kursens

Läs mer

Introduktion till formella metoder Programmeringsmetodik 1. Inledning

Introduktion till formella metoder Programmeringsmetodik 1. Inledning Introduktion till formella metoder Programmeringsmetodik 1. Inledning Fokus på imperativa program (ex. C, Java) program betyder härefter ett imperativt program Program bestäms i en abstrakt mening av hur

Läs mer

Följddiagram för händelsestyrda rörelser

Följddiagram för händelsestyrda rörelser Följddiagram för händelsestyrda rörelser 2 STYROBJEKT UNIKA FASER Två arbetscylindrar ska röra sig i följande ordning. När man ger startkommando ska kolvstången i cylinder gå ut. När den har nått sitt

Läs mer

LABORATIONSINSTRUKTION

LABORATIONSINSTRUKTION Högskolan Dalarna Institutionen för Elektroteknik LABORATION LABORATIONSINSTRUKTION LOG/iC, PLD, kombinatorik, sekvensnät KURS Digitalteknik LAB NR 6 INNEHÅLL. Inledning 2. Prioritetskodare 3. Elektronisk

Läs mer

IE1205 Digital Design: F4 : Karnaugh-diagrammet, två- och fler-nivå minimering

IE1205 Digital Design: F4 : Karnaugh-diagrammet, två- och fler-nivå minimering IE25 Digital Design: F4 : Karnaugh-diagrammet, två- och fler-nivå minimering Mintermer 2 3 OR f En minterm är en produktterm som innehåller alla variabler och som anger den kombination av :or och :or som

Läs mer

Välkomna till TSRT19 Reglerteknik M Föreläsning 9

Välkomna till TSRT19 Reglerteknik M Föreläsning 9 Välkomna till TSRT19 Reglerteknik M Föreläsning 9 Sammanfattning av föreläsning 8 Prestandabegränsningar Robusthet Mer generell återkopplingsstruktur Sammanfattning föreläsning 8 2 F(s) Lead-lag design:

Läs mer

IE1204/5 Digital Design typtenta

IE1204/5 Digital Design typtenta IE1204/5 Digital Design typtenta Del A1 tio korta Analys-uppgifter 1p totalt 10p Rättas bara Rätt/Fel! Observera minst 6p på A1 om vi ska rätta vidare! Del A2 två Metodikuppgifter om totalt 10p. Rättas

Läs mer

Digitala system EDI610 Elektro- och informationsteknik

Digitala system EDI610 Elektro- och informationsteknik Digitala system EDI610 Elektro- och informationsteknik Digitala System EDI610 Aktiv under hela första året, höst- och vår-termin Poäng 15.0 Godkännande; U,3,4,5 Under hösten i huvudsak Digitalteknik Under

Läs mer

Föreläsning 9: Turingmaskiner och oavgörbarhet. Turingmaskinen. Den maximalt förenklade modell för beräkning vi kommer använda är turingmaskinen.

Föreläsning 9: Turingmaskiner och oavgörbarhet. Turingmaskinen. Den maximalt förenklade modell för beräkning vi kommer använda är turingmaskinen. Föreläsning 9: Turingmaskiner och oavgörbarhet Turingmaskinen Den maximalt förenklade modell för beräkning vi kommer använda är turingmaskinen. Data är ett oändligt långt band där nollor och ettor står

Läs mer

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.

Lösningförslag till Exempel på tentamensfrågor Digitalteknik I. Lösningförslag till Exempel på tentamensfrågor Digitalteknik I.. Uttryckt i decimal form: A=28+32+8 + 2 =70 B=59 C=7 A+B+C=246 2. Jag låter A' betyda "icke A" A'B'C'D'+ABC'D'+A'BCD'+AB'CD'=D'(A'(B'C'+BC)+A(BC'+B'C))=

Läs mer

Konstruktionsmetodik för sekvenskretsar

Konstruktionsmetodik för sekvenskretsar Konstruktionsmetodik för sekvenskretsar Digitalteknik Föreläsning 7 Mattias Krysander Institutionen för systemteknik Dagens föreläsning Inför laboration 2 Synkronisering av insignaler Asynkrona ingångar

Läs mer

Maurice Karnaugh. Karnaugh-diagrammet gör det enkelt att minimera Boolska uttryck! William Sandqvist

Maurice Karnaugh. Karnaugh-diagrammet gör det enkelt att minimera Boolska uttryck! William Sandqvist Maurice Karnaugh Karnaugh-diagrammet gör det enkelt att minimera Boolska uttryck! En funktion av fyra variabler a b c d Sanningstabellen till höger innehåller 11 st 1:or och 5 st 0:or. Funktionen kan uttryckas

Läs mer

M0043M Integralkalkyl och Linjär Algebra, H14, Linjär Algebra, Föreläsning 11

M0043M Integralkalkyl och Linjär Algebra, H14, Linjär Algebra, Föreläsning 11 M0043M Integralkalkyl och Linjär Algebra, H14, Linjär Algebra, Föreläsning 11 Staffan Lundberg / Ove Edlund Luleå Tekniska Universitet Staffan Lundberg / Ove Edlund M0043M H14 1/ 41 Linjär Algebra, Föreläsning

Läs mer

Exempel på tentamensfrågor Digitalteknik

Exempel på tentamensfrågor Digitalteknik Exempel på tentamensfrågor Digitalteknik Till dessa frågor (som kommer från lite olika tidgare tentor) gällde förutsättningen: Hjälpmedel: Kurslitteratur, föreläsningsantecknigar lab. med mätresultat,

Läs mer

Föreläsning 9: NP-fullständighet

Föreläsning 9: NP-fullständighet Föreläsning 9: NP-fullständighet Olika typer av problem: 1. Beslutsproblem: A(x) =Ja. 2. Optimeringsproblem: A(x) =m Vanligen max/min. 3. Konstruktionsproblem: A(x) =En struktur. Vanligen lösningen till

Läs mer

DIGITALTEKNIK. Laboration D161. Kombinatoriska kretsar och nät

DIGITALTEKNIK. Laboration D161. Kombinatoriska kretsar och nät UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik jörne Lindberg/Håkan Joëlson 2003-09-15 v 2.2 DIGITALTEKNIK Laboration D161 Kombinatoriska kretsar och nät Innehåll Uppgift 1...Grundläggande

Läs mer

IE1205 Digital Design. F2 : Logiska Grindar och Kretsar, Boolesk Algebra. Fredrik Jonsson KTH/ICT/ES

IE1205 Digital Design. F2 : Logiska Grindar och Kretsar, Boolesk Algebra. Fredrik Jonsson KTH/ICT/ES IE1205 Digital Design F2 : Logiska Grindar och Kretsar, oolesk Algebra Fredrik Jonsson KTH/ICT/ES fjon@kth.se Switch En switch har två lägen Sluten/Till (Closed/On) Öppen/Från (Open/Off) Sluten Öppen x

Läs mer

2. Reglertekniska grunder

2. Reglertekniska grunder 2. Reglertekniska grunder 2.1 Signaler oc system Ett system växelverkar med sin omgivning via insignaler, som åverkar systemets beteende, oc utsignaler, som beskriver dess beteende. Beroende å sammananget

Läs mer

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler,

-c wc. Pre- Next state Out- Vi ser att tillstånden är redan sorterade i grupper med olika utsignaler, 9.17 Vi översätter beskrivningen till ett flödesdiagram, Figur E9.17a -c -c z=1 E A z=1 E A z=0 z=0 z=0 D z=0 D Figur E9.17a Flödesdiagram B z=0 B z=0 C z=0 C z=0 som vi i sin tur översätter till en flödestabell,

Läs mer

Digitalteknik F2. Digitalteknik F2 bild 1

Digitalteknik F2. Digitalteknik F2 bild 1 igitalteknik F2 igitalteknik F2 bild Återblick från F: Kombinatoriska och sekventiella kretsar Funktionstabeller ooleska funktioner Logiksymboler esignspråk igitalteknik F2 bild 2 Förenkling av komb. funkt.

Läs mer

1 LP-problem på standardform och Simplexmetoden

1 LP-problem på standardform och Simplexmetoden Krister Svanberg, mars 202 LP-problem på standardform och Simplexmetoden I detta avsnitt utgår vi från LP-formuleringen (2.2) från föreläsning. Denna form är den bäst lämpade för en strömlinjeformad implementering

Läs mer

Tentamensskrivning 11 januari 2016

Tentamensskrivning 11 januari 2016 Lunds Universitet LTH Ingenjörshögskolan IDA IEA Helsingborg Tentamensskrivning 11 januari 2016 EDI 610 Digitala system 15 poäng, varav tentamen 4,5 p Kursansvarig: Bernt-Arne Jönsson och Bertil Larsson

Läs mer

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs:

Laboration D159. Sekvensnät beskrivna med VHDL och realiserade med PLD. Namn: Datum: Epostadr: Kurs: UMEÅ UNIVERSITET Tillämpad fysik och elektronik Digitalteknik Lars Wållberg/Håkan Joëlson 2001-03-01 v 1.5 ELEKTRONIK Digitalteknik Laboration D159 Sekvensnät beskrivna med VHDL och realiserade med PLD

Läs mer